我國的光刻機發展到什麼地步了?

謙皆吉


芯片製造包括兩個環節,設計環節和製造環節。智能手機領域而談,華為海思等科技企業已有研發7nm製程工藝的芯片。不過製造環節而談,至今還仍是咱的突出短板,用於生產製造芯片的國產光刻機至今都沒取得突破性的進展,多數還在依賴光刻機進口。

長期以來,我國在光刻機領域都在持續投入和研發。當然,技術上的突破喜訊也是有的。譬如我國自主研發的14nm光刻機已經初步通過了專家組的驗收和審核。

這是意識主導下的突破和崛起,只有自主掌握核心技術才不易受控於人。像不久前,2019年12月份也傳來喜訊消息:武漢光電國家研究中心的甘棕松團隊,已成功研發出9nm工藝製程的光刻機。此國產光刻機的特色在於利用二束激光突破了光束衍射極限的限制,刻出了最小9nm線寬的線段。據坦露的是,此項9nm製程工藝技術為我國獨有,擁有著獨立自主產權。

當然,即便國產光刻機取得9nm製程工藝的突破進展,但依舊要承認差距。目前光刻機頂尖技術掌握在荷蘭ASML手中,其也是世界範圍內屈指可數的光刻機制造巨頭。ASML的7nm EUV光刻機已經非常成熟,並在向更先進的5nm製程工藝節點邁進。

ASML的光刻機技術先進,價格非常高昂,但也並非有錢就能買到。中芯國際早前就像ASML訂購一臺EUV光刻機,但遇到了眾多阻滯因素,遲遲沒交付到貨。

半導體工藝的進步一直備受關注,尤其是國產半導體技術和國際頂尖水平差距依然很大,高性能芯片更依賴外來先進工藝。目前我國的9nm也還沒到芯片量產的階段,未來還有較長的路要走。但只要腳踏實地,每一步都令人鼓舞。國產光刻機未來會有更大的進展…


IT小眾


感謝您的閱讀!

你可能想象不到,我國竟然研製出了9nm工藝製程的光刻機,要知道現在最受大家關注的是90nm上海微電子的光刻機,而如今出現了9nm光刻機,著實令我們感覺到有些驚喜。

2019年4月15日,武漢光電國家研究中心甘棕松團隊,通過使用了二束激光在自研的光刻膠上突破了光束衍射極限的限制,在使用了遠場光學的辦法,光刻出最小9nm線寬的線段,實現了從超分辨成像到超衍射極限光刻製造的重大創新。

你可能覺得不可思議,事實上,這件事的可行性和可信性確實讓人感覺到有些驚訝,但事實確實如此,雖然光刻機並沒有完全的付諸於實施,可是你得知道的是,光刻機未來一定會被打破。

雖然,ASML目前已經可以生氣7nm EUV光刻技術,相比之下,國內目前最好的上海微電子最好為90nm的光刻技術,這種差異確實有點偏大,但是,你得知道的是這種差異的變化,正是說明了我們急需對於光刻技術的需求。

如果光刻機不能夠實行質的飛躍,勢必給未來的光刻技術帶來一種新的挑戰,在《瓦森納協議》的壓力下,國產光刻機確實會讓光刻機充滿了壓力,而武漢方面的突破,必然會給光刻機的未來增加無限可能。


LeoGo科技


    我國可以量產的是90nm製程工藝的光刻機,全球最先進的是荷蘭ASML的7nm EUV光刻機,可以說我國的光刻機技術與世界先進水平還有很大的差距。但是,還有一個問題,即便有錢,也很難買到最先進的7nm EUV光刻機,下文具體說一說。

    與荷蘭ASML的差距

    資料顯示,我國生產光刻機的廠商是上海微電子(SMEE),成立於2002年,目前所生產的光刻機,主要用於90nm及其以下芯片製造工藝,幾乎壟斷了全球低端光刻機市場,佔到了全球80%以上的市場份額,但是在高端光刻機領域為零。

    上海微電子與荷蘭ASML在光刻機領域的差距,反映了我國在精密製造領域的差距,一臺全球頂級的光刻機的關鍵零部件來自西方不同的發達國家,美國的光柵、德國的鏡頭、瑞典的軸承、法多的閥件等等,更麻煩的是,這些零部件對我國是禁運的。

    因此,上海微電子只能先做好中低端光刻機,畢竟80%以上的芯片並不要高端光刻機,做好了中低端,生存下去,然後慢慢培養國內零部件廠商,向高端光刻機領域發展。

    購買高端光刻機“一波三折”

    最先進的EUV光刻機全球只有荷蘭的ASML能夠生產,售價超過了1.5億美元。我國的中芯國際早在2017年就預定了一臺7nm EUV工藝的光刻機,預計2019年年初交貨,但是到了2020年,仍然未交貨。

    第一次延期:2018年12月,ASML的主要元器件供應商Prodrive工廠發生火災,中芯國際預定的光刻機延期。

    第二次延期:出售EUV光刻機需要荷蘭政府發放許可證,在美國的種種施壓下,先是對這臺光刻機進行審計,只要美國製造的零件佔到25%的價值,就需要美國出口許可證;再是以“國家安全”為由,拿出了《瓦森納協議》,企圖封殺這筆訂單。

所以,至今中芯國際仍未收到這臺7nm EUV光刻機。


    總之,我國光刻機與世界先進水平的差距,主要是製造工藝的差距。雖然,武漢光電研究中心已經突破了9nm光刻技術,但是在關鍵零部件、材料等方面仍然無法滿足量產的需求。這也印證了一句話“自己有才是真的,只有你突破了技術,就沒有人能卡住你的脖子”。

如果覺得對你有幫助,可以多多點贊哦,也可以隨手點個關注哦,謝謝。

Geek視界


首先,我國很早就開始了光刻機的研製,在上世紀70年代,清華大學與中科院合作進行光刻機的研發,在1985年就研製成功了一臺g線分步投影光刻機,這比國外同類產品僅僅晚了6年。2002年我國成立了上海微電子裝備有限公司(SMEE),其研發團隊主要來自中科院45所,目前為止,這也是我國僅有的一家光刻機研發單位。從今年發展來看,SMEE雖取得了很大成績,但整體技術水平還是落後於西方發達國家。

光刻機的研製技術難點主要是解決精密度問題,光刻的原理就像在米粒大小的面積上,雕刻納米級大小的文字,其難度可想而知,所以這需要持續的研發和技術攻關。此外,我國光刻機的研發還面臨資金和人才的調整。研發光刻機這種高精尖設備投入大、投資回報時間長,民營企業出於發展目的,很少會主動投入光刻機的研發,所以這需要國家進行投資和組織,從國外發展來看,英特爾、臺積電、三星為推進光刻機的研發,都是持續投入巨資,比如三星以38億歐元購買ASML23%的股份支持其EUV光刻機的研發,可以說是不計成本,也由此奠定了他們在光刻機領域的地位。

與國外相比,我國缺少光刻機相關配套產業,而且由於西方國家的技術封鎖,幾乎不可能從國外獲得技術支持,所以,我國光刻機的發展只能來源於自主研發,而光刻機研發人員的培養難度較大、培養週期長、人才流失嚴重,這些都大大制約了我國光刻機的發展。

其實總體來看,發展光刻機取決於國家的決心,過去,我們可以很容易買到國外芯片,而且自主研發投入大、風險高,這造成了我們國家很多人產生了“造不如買”的觀點,但隨著中美貿易戰的加劇,美國開始對中國進行技術防範,比如“中芯事件”,對華為的各種制裁,這些事件終於使國內企業醒悟過來,不發展光刻機,中國永遠把自身的命脈放在別人手裡,國家工業將永遠徘徊低端產業,所以,發展光刻機已經刻不容緩。


Panda科技汪


2018年11月30日據媒體報道,由中國科學院光電技術研究所主導的項目“超分辨光刻裝備研製”29日通過驗收。

該光刻機光刻分辨力達到22nm,結合雙重曝光技術後,未來還可用於製造10nm級別的芯片。

專家表示,該光刻機在365nm光源波長下,單次曝光最高線寬分辨力達到22nm。項目在原理上突破分辨力衍射極限,建立了一條高分辨、大面積的納米光刻裝備研發新路線,繞過國外相關知識產權壁壘。

據悉,光刻機是製造芯片的核心裝備,我國在這一領域長期落後。它採用類似照片沖印的技術,把母版上的精細圖形通過曝光轉移至硅片上,一般來說,光刻分辨力越高,加工的芯片集成度也就越高。

項目副總設計師胡松介紹,中科院光電所此次通過驗收的表面等離子體超分辨光刻裝備,打破了傳統路線格局,形成一條全新的納米光學光刻技術路線,具有完全自主知識產權,為超材料/超表面、第三代光學器件、廣義芯片等變革性領域的跨越式發展提供了製造工具。


跪射俑


光刻機是半導體產業中最重要的設備,技術先進的還是由荷蘭ASML公司生產,我國也有在研發生產光刻機,但技術水平還比較落後,無法滿足現代芯片工藝要求。

我國光刻機的發展現狀與技術水準

目前我國能生產光刻機的企業有5家,最先進的是上海微電子裝備有限公司,光刻機量產的芯片工藝是90納米,目前正在向65納邁進,因為90納米是一個臺階,如果能過關,後面的65、45就很容易攻破,按照目前的發展速度,預計在2020年可以達到22納米。


日本佳能和尼康的退出,只有荷蘭ASM佔統治地位,與它相比,國產光刻機有較大差距

日本還有兩家光刻機設備商佳能和尼康,佳能因為此項業務虧損,在2008年就退出了。而尼康的光刻機受到了英特爾的限制,新的製程不用尼康的光刻機,給了尼康沉重的打擊以致衰敗。現在只有荷蘭ASML佔統治地位,它們的工藝已經達到了7nm,還在研發5nm中,而我國的光刻機設備是90nm,65nm還在研發中。顯而易見,我國的光刻機技術還有很大的差距。



我國光刻機的發展成果

2016年我國完成了“極紫外光刻技術”的驗收,歷經八年的研究成果終於換來了回報,這對於光刻技術具有里程碑的意義。極紫外光刻是最具有代表性的光刻技術,它是以13.49nm的EUV光來用作投影,比其它的投影光源更全面,是投影技術的臨界點,獲得這項技術對光刻機的提升起著很大的作用,計劃在2030實現EUV光刻機量產。



雖然我國的光刻機技術落後,但一個小小的突破就會給我們很大的信心,也代表了中國企業在半導體行業發展的決心,期望早日突破光刻機技術難關,迎來中國芯。


星河方舟


我國光刻機最近幾年整體的發展還是可以的,雖然在高端領域和荷蘭ASML差距還是相當大的,但是我們正在迎頭趕上,只要這個發展的進程不中段,以及相關科學領域的持續突破,必然縮小差距和追趕上。

上海微電子已經在研發28nm工藝光刻機

上海微電子是目前國內光刻機領域最領先的系統製造商,很多人提起這家公司或許都會說它們只能量產90nm的工藝的光刻機,但這並非上海微電子當前真正的研發進度。

最新消息顯示上海微電子已經完成了65nm光刻機研發,且已經進入設備驗證階段,如果不出意外的話這2年應該能量產65nm。既然已經搞定了65nm光刻機,下一代的28nm光刻機研發工作也已經在進行中。

因此,未來幾年內如果順利的話,我們或許能用上國產28nm光刻機。


光刻機研發不僅僅靠上海微電子

上海微電子雖然是研發光刻機的主力,但是一臺光刻機涉及到幾萬的配件,僅僅靠上海微電子顯然也是無法制造出合格的高端產品,想要儘快提升我國的光刻機整體水平,還需要整個產業鏈的努力。類似光柵、鏡頭、閥件等光刻機的核心配件廠商同樣需要提升自身的水平,而這就涉及到製造業的技術水平了。

目前來看,配件廠商的差距和國外企業相差較大,此前上海微電子總經理曾經舉個一個例子,德國人這邊生產的鏡片拋光都是純人工,而這種工種的工人祖孫三代都做相同的工作,這種模式生產出來的鏡片光潔度要比其他方式生產的強十倍。

這就是差距,國內很難實現類似的生產模式。

上海微電子有哪些用途的光刻機

上海微電子目前的光刻機產品包括前道光刻機、後道封裝光刻機、平板顯示光刻機、LED光刻機等,而大家所稱的90nm光刻機指的是前道光刻機,目前後道封裝光刻機上海微電子佔據國內80%的市場份額,全球市場份額40%左右,LED光刻機市佔率為20%。

如果放眼全球光刻機市場,荷蘭ASML佔據高端領域,全球份額達到了8成,剩下2成的中低端市場主要由上海微電子和日本的佳能、尼康來瓜分。

Lscssh科技官觀點:

以上就是我國光刻機的現狀,和荷蘭ASML高端光刻機差距還是很大,但我們正在不斷的追趕中,相信未來我們還是能趕上的。




Lscssh科技官


中國的極紫外光刻機已經有了突破。

中國的超分辨率光刻機是世界獨有。

中國的9納米光刻機是獨闢蹊徑的。

中國的雙工作臺技術打破了外國壟斷。

中國是世界唯一掌握固態光源技術的國家。


小杰80164096


沒錢還花唄借唄怎麼辦,高價賣手機,就來「微回收」,回收成功還有豪禮相送!

芯片製造業兩大至關重要的技術,蝕刻機和光刻機,在蝕刻機上我國已經攻克5nm技術,與歐美差距進一步縮小,但是在光刻機上,全世界只有荷蘭的 ASML 能夠製造頂級的光刻機。中國自主研發出世界首臺超分辨光刻機,才達到了22納米。

本來,中芯國際花了1.2億美元向ASML訂購了EUV,預計將在2019年年初交貨。但是ASML突然失火,交貨日期遙遙無期。

如果要發展光刻機,核心硬件這個還需要加大力度攻克,另外就是需要專業的人才,而這兩個方面,目前我們都急缺,我們缺乏研究光刻機的高精尖人才。

另外最重要的是,光刻機這種東西,往往花費十幾年投入,都沒有一個響。屬於高投入低產出的,如果沒有國家投入支持,很少民營企業能夠撐得起這麼高的研發費用,關鍵還耐得住性子,十幾年沒有收益還能繼續投入。

但是不管怎麼樣,我們國家的光刻機在持續進步,也讓我們拭目以待。


微回收


光刻機是芯片製造的核心設備之一,按照用途可以分為好幾種:有用於生產芯片的光刻機;有用於封裝的光刻機;還有用於LED製造領域的投影光刻機。

用於生產芯片的光刻機是中國在半導體設備製造上最大的短板,國內晶圓廠所需的高端光刻機完全依賴進口,本次廈門企業從荷蘭進口的光刻機就是用於芯片生產的設備。

在加工芯片的過程中,光刻機通過一系列的光源能量、形狀控制手段,將光束透射過畫著線路圖的掩模,經物鏡補償各種光學誤差,將線路圖成比例縮小後映射到硅片上,然後使用化學方法顯影,得到刻在硅片上的電路圖。

一般的光刻工藝要經歷硅片表面清洗烘乾、塗底、旋塗光刻膠、軟烘、對準曝光、後烘、顯影、硬烘、激光刻蝕等工序。經過一次光刻的芯片可以繼續塗膠、曝光。越複雜的芯片,線路圖的層數越多,也需要更精密的曝光控制過程。

光刻機的技術門檻極高,可以說是集人類智慧大成的產物。

我國的光刻機技術仍然處於低端水平,上海微電子的光刻機代表我國光刻機的最高水平,製程工藝為90nm,而荷蘭ASML的光刻機已經進入5nm的製程工藝,我國的高端光刻機全部依靠進口。

目前,全球光刻機領域的龍頭老大是荷蘭的ASML,佔領了80%的市場,日本的尼康和佳能已經被ASML完全擊敗。最先進的EUV光刻機,只有ASML能夠生產。大家所使用的手機的處理器、電腦的CPU,大部分是ASML的光刻機制造出來的。

ASML的光刻機超過90%的零件向外採購,整個設備採用了全世界上最先進的技術,是多個國家共同努力的結果,比如德國的光學設備和精密機械,美國的計量設備和光源設備。一臺7nm EUV光刻機包含了5萬多個零件,13個系統,需要把誤差分散到這個13個子系統中,所以每個配件必須得非常精準。

最關鍵的是生產光刻機所需的關鍵零件,對我國是禁運的,所以制約了我國光刻機技術的發展。

ASML的7nm EUV光刻機已經非常成熟,華為的麒麟980處理器、蘋果的A12處理器、高通的驍龍855處理器均是有臺積電代工使用ASML的7nm 光刻機生產的。據說,ASML已經開始生產5nm製程的光刻機。

總之,相比德國、日本、美國我國的芯片製造以及超精密的機械製造方面有一定的差距,同時國外對我國的“技術封鎖”,關鍵零件“禁運”相比ASML最新的EUV 7nm光刻機,我國的光刻機仍然有很大的差距。


分享到:


相關文章: