中國光刻機距離頂尖水平還有較大差距,那麼同樣關鍵的蝕刻機處在什麼地位?

Donovecy


我們國家距離頂尖光刻機有很大差距。我國已經制造的光刻機只能刻22nm,中科院用二束激光解決了衍射,可以刻14nm。光刻機是在硅晶圓按設計畫圖。蝕刻機作用是顯示圖,把不要的腐蝕去。中國的蝕刻機早就達到了7nm,5nm在2020年1月在臺積電驗證了。中國的蝕刻機水平達到了頂尖的水平。


用戶6663864333286gun


中國的光刻機水平比較落後,但是蝕刻機水平處於世界先進水平,已經在研發5納米的技術,彌補了芯片設備的技術不足。

光刻機負責“畫圖”,蝕刻機負責“雕刻”,和光刻機一樣的技術要求

光刻機負責將電路圖複製到硅晶片,剩下就是蝕刻機來工作了,它通過腐蝕的方法將電路圖裡多餘的硅晶片去掉,只留下有用的電路圖。工藝分為溼刻和幹刻,目前普遍是用溼刻的方式,幹刻技術還不成熟。這些工作看似很簡單,但和光刻機有著一樣的技術要求。



中國蝕刻機7納米工藝已實現量產

中國加大了半導體設備的研發投入,經過多年研發出來的納米級別刻蝕機取得了重大的突破,目前7納米的刻蝕機已投入使用,工藝水平是頭髮絲的萬分之一,能夠在肉眼無法看到的集成電路上完成蝕刻,已經到了加工微距的極限。



生產刻蝕機的中國企業技術已達到世界前端

1、中微電子生產出來的第一代刻蝕機可以加工300毫米、45納米的晶圓片,最先進的設備可以達到7納米的工藝。

2、北方華創是高科技國有企業,從2001年就投入到了刻蝕機的研發,最具代表性的產品是ELEDE 330 ICP刻蝕機,一次可以刻蝕27片2英寸的晶圓延層。



目前國外的刻蝕機廠商大部分都達到了7納米的工藝,中國企業中微電子也不甘落後,研發出7納米刻蝕機與國外競爭。目前已和臺積電在驗證5納米的刻蝕設備,相信不久技術水平會再上一個臺階。


星河方舟


    我國的光刻機處於低端水平,刻蝕機水平位於世界前沿,下文具體說一說。


    我國的光刻機和刻蝕機

    我國光刻機的最高水平是上海微電子的90nm製程,世界頂尖的光刻機是ASML的7nm EUV光刻機,ASM已經開始研製5nm製程的光刻機。相對來說,我國在光刻機制造領域與國際先進水平有很大的差距,高端光刻機全部依賴進口,我國的中芯國際今年將會引進ASML最新的7nm製程的EUV光刻機,一定程度上減小了與臺積電、三星的差距。

    我國的刻蝕機技術領先,中微半導體的介質刻蝕機、硅通孔刻蝕機位於全球前三,中微半導體的介質刻蝕機贏進入臺積電7nm、10nm的生產線,中微半導體的刻蝕機制造工藝達到了5nm,已經通過了臺積電的驗證。,我國大陸晶圓代工廠中芯國際50%以上的刻蝕機採用了中微半導體。


    提到中微半導體,不得不說尹志堯博士,尹志堯在美國硅谷從事半導體行業20多年,在世界最大的半導體企業擔任副總裁。2004年時,60歲的尹志堯放棄美國百萬年薪,帶領三十人的團隊,回國創辦了中微半導體,在芯片製造設備領域與巨頭直接競爭。

    刻蝕機的重要性

    刻蝕機是芯片製造設備中重要性僅次於光刻機的第二重要設備,光刻機相當於畫匠,刻蝕機相當於雕工。

    光刻機,用比較形象的描述就是將電路圖印在晶圓上,刻蝕機把光刻機印好的圖案刻在晶圓上,主要利用化學途徑選擇性地一處沉澱層的特定部分。


    刻蝕機主要分為介質、多晶、金屬等幾種,目前比較流行的是介質刻蝕,佔比超過了50%,我國中微電子的介質刻蝕可以實現5nm的製程。


    在芯片製造領域,我國的短板在於光刻機,與國外先進技術有一定的差距。刻蝕機處於世界領先的水晶,特別是中微電子的5nm芯片刻蝕機,此外還有北方華創的刻蝕機、盛美的清洗設備,處於世界領先水平。


Geek視界


感謝您的閱讀!

在光刻機上,國外的技術掐住了我們的咽喉;美國更是聯合一部分國家制定了《瓦森納協定》,嚴禁向中國出售最新的幾代設備。而目前在光刻機市場,佔據80%的ASML,自然也遵守著《瓦森納協定》,特別是ASML已經成為全球唯一一家能向客戶供應最先進EUV光刻機的設備商,讓我們在光刻機上備受掣肘!

如果說光刻機嚴重的制約了我國半導體的發展的話,那麼蝕刻機方面,我們的壓力可能就沒有那麼大了。我們知道,光刻機是把電路圖投影到覆蓋有光刻膠的硅片上面;而刻蝕機再把剛才畫了電路圖的硅片上的多餘電路圖腐蝕掉。所以,兩樣設備是相輔相成的,缺一不可。

如果說,光刻的過程是硅圓表面塗上一層光刻膠,通過紫外光透過掩膜照射到硅圓表面,我們發現,在被照射的部分腐蝕之後,形成了我們需要的電路。而

而蝕刻就需要通過將已經光刻好的晶圓,切除掉多餘的部分,剩下電路結構。其實,我國在光刻機上雖然表現不佳,但是在蝕刻機上,卻表現相對優異了。比如,我們知道的中微半導體。在央視的《大國重器》中,就對它進行了介紹。

中微半導體於2004年由尹志堯博士代領的海歸人才創辦,它推出的7nm、10nm芯片介質刻蝕設備已經打入臺積電的量產線,佔據中芯國際50%以上的新增採購額。

2018年12月份,中微半導體成功攻克下5nm蝕刻機,並且通過了臺積電的技術認證,可用於實際生產,可以說我們在蝕刻機上,又再一次獲得了成功。

我們也要看到,雖然我們在蝕刻機上的成績突破了國際的技術封鎖,在光刻機上這個問題沒有那麼簡單。因為目前僅僅是完成了5nm蝕刻機的自主研發,但是還差一個很重的設備,也就是光刻機上,目前的上海微電子等企業和ASML還是有很大的距離,ASML已實現7 nm EUV光刻先進工藝,而上海微電子由於起步較晚且技術積累薄弱,目前技術節點為90 nm,且多以激光成像技術為主。

因此,我們在看到蝕刻機的優勢情況下,也應該提升光刻機的水平,在未來這種局勢也會被改變,我們相信在我國的堅持下,和蝕刻機一樣,一定會帶來不一樣的成績!


LeoGo科技


光刻機和蝕刻機是什麼?

光刻機與蝕刻機是生產芯片的兩大重要機子,可以說一個是魂,另外一個是魄。至於說這兩個機子的具體功能是什麼呢?

最簡單的解釋就是:光刻機把電路圖投影到覆蓋有光刻膠的硅片上面,刻蝕機再把剛才畫了電路圖的硅片上的多餘電路圖腐蝕掉。這樣看起來似乎沒什麼難的,但是有一個形象的比喻,每一塊芯片上面的電路結構放大無數倍來看比整個北京的線路圖都複雜,這就是這光刻和蝕刻的難度。這兩者的加工精度是頭髮絲直徑的幾千分之一到上萬分之一。以16nm的CPU來說,加工尺度為普通人頭髮絲的五千分之一,加工的精度和重複性要達到五萬分之一,更不用說更先進的10nm及7nm的CPU了。

我國光刻機的水平

我國目前生產光刻機最為先進的企業為上海微電子裝備(集團)股份有限公司,這是一家有著國資背景的企業(前兩大股東均為國有企業),成立於2002年,至今已有17年的歷史,實現了我國光刻機從無到有的地步。

光刻機從出現到現在一共歷經了五代的發展,現在世界上最新進的光刻機生產企業為荷蘭的ASML(阿斯麥),已經可以做到第五代EVU的7nm製程的量產,上海微電子雖然發展速度很快,但是目前只做到了光刻機第四代ArF的90nm製程,且尚無法量產。按量產能力來說差了近兩個年代,按照研發能力來說,差了一個年代。所以我國的光刻機水平距離世界領先水平還是有較大的差距的。

我國的刻蝕機水平

國內生產刻蝕機水平最高的企業為中微半導體設備(上海)有限公司(這家同樣是有國資背景的企業,前兩大股東也是國有企業,所以有人說我國光刻機沒發展起來是因為國企的原因,這是不對的,中微半導體也可以說是國企,但是刻蝕機的技術水平是世界領先水平的)。

中微的發展離不開尹志堯為代表的幾十位海歸技術專家。根據央視財經2017年的報導,尹志堯曾經擔任應用材料的公司副總裁(應用材料是半導體設備廠商龍頭老大),參與領導幾代等離子體刻蝕設備的開發,在美國工作時就持有86項專利。

2004年的時候尹志堯和他的團隊決定回國,不再給外國人做嫁衣,在回國之際,所有技術專家承諾不會把美國公司的技術,包括設計圖紙、工藝過程帶回國內,美國方面也對歸國人員持有的600多萬個文件和所有個人電腦做了徹底清查。

2004年回來之後,國家牽頭設立了中微半導體公司,尹志堯等人重新投入研發刻蝕機,僅僅用了3年的時間就做出了世界領先的高性能刻蝕機,為此美國人無法接受,還起訴了中微半導體專利侵權,但是最終的驗證結果是沒有任何的侵權。(這才是中微半導體比上海微電子發展快的原因,畢竟上海微電子的沒有人才在荷蘭的ASML工作過,也許正是因為這件事,所以美國現在禁止ASML招聘中國的員工)。

隨著中微半導體的興起,2015年美國商業部工業安全局還特別發佈公告,由於認識到中國可以做出具有國際競爭力的等離子刻蝕機,所以決定把等離子刻蝕機從美國對中國控制的單子上去掉了。因此在刻蝕機領域,我們目前已經是處於世界領先水平了。



鯉行者


ASML光刻機是集全世界智慧的成果,中國要單靠自己全方位突破每一個科技頂峰既不可能也太難,沒有人能當全能選手。


林雙365


長期以來,我們的大部分芯片都需要進口才能給滿足使用,包括安卓手機中大部分都是使用的來自高通的驍龍處理器,而電腦中也是來自英特爾的處理器,甚至一些空調這些家電中的芯片也需要進口才行。

為何明知需要芯片,可大部分芯片還是需要進口才能滿足呢,這很大一部分原因是和國外有關的,在一些高端科技領域,國外對我國採取的是封鎖政策,所以,我國在芯片這一領域的發展是比較落後於國外的。

而前段時間的中興事件,也可看出芯片對於我國的重要性,只要在芯片領域有所突破,才不會長期受制於人。

說起芯片,便不得不提芯片製造所需要的裝備了,製造芯片主要需要用到光刻機和蝕刻機這兩款裝備,光刻機和蝕刻機是製造芯片的核心裝備,而我國在這一領域長期落後。

那麼,什麼是光刻機和蝕刻機呢?

簡單來講,光刻機通過光在晶圓上進行刻畫電路,光刻機採用類似照片沖印的技術,把母版上的精細圖形通過曝光轉移至硅片上,一般來說,光刻分辨力越高,加工的芯片集成度也就越高,但傳統光刻技術由於受到光學衍射效應的影響,分辨力進一步提高受到很大限制,為獲得更高分辨力,傳統上採用縮短光波、增加成像系統數值孔徑等技術路徑來改進光刻機,但技術難度極高,裝備成本也極高。

而蝕刻機就是將多餘的地方去除,從而形成一個完整的電路,蝕刻機主要在半導體和線路版製程上,蝕刻圖紋、花紋、幾何形狀,並精確鏤空,特別是每個線條和深孔的加工精度都是頭髮絲直徑的幾千分之一到上萬分之一,精度控制要求非常高。

就目前來說,這兩臺比較先進的機器都需要到國外去購買。因為長期以來,這兩款裝備的核心技術一直被國外廠商壟斷,有錢都買不到,國外基本上都對我國施行封鎖政策,這也是我國在光刻機和蝕刻機這一領域相對較落後的原因。

不過,在2018年11月29日,中科院光電技術研究所承擔的國家重大科研裝備研製項目“超分辨光刻裝備研製”通過驗收,這是世界上首臺用紫外光源實現了22納米分辨率的光刻機驗證機。

而在此前,我國自主研發的光刻機主要光刻分辨力也才65nm至28nm,對於高端設備方面的應用還是不足的。

而世界最先進的光刻機,荷蘭EUV極紫外線光刻機能夠生產7nm級別的芯片,雖然同比與荷蘭的光刻機,我國的還是比較落後的,但目前來說,確實是很難得的。

據中科院理化技術研究所許祖彥院士等驗收組專家一致表示,該光刻機在365納米光源波長下,單次曝光最高線寬分辨力達到22納米。項目在原理上突破分辨力衍射極限,建立了一條高分辨、大面積的納米光刻裝備研發新路線,繞過國外相關知識產權壁壘。項目副總設計師胡松介紹,中科院光電所此次通過驗收的表面等離子體超分辨光刻裝備,打破了傳統路線格局,形成一條全新的納米光學光刻技術路線,具有完全自主知識產權,為超材料/超表面、第三代光學器件、廣義芯片等變革性領域的跨越式發展提供了製造工具。

據瞭解,這種超分辨光刻裝備製造的相關器件已在中國航天科技集團公司第八研究院、電子科技大學、四川大學華西醫院、中科院微系統所等多家科研院所和高校的重大研究任務中得到應用。

2019年4月17日,武漢光電國家研究中心甘棕松團隊遵循諾貝爾化學獎得主德國科學家斯特凡·W·赫爾的超分辨熒光成像的基本原理,在沒有任何可借鑑的技術情況下,採用二束激光在自研的光刻膠上突破了光束衍射極限的限制,採用遠場光學的辦法,光刻出最小9納米線寬的線段,實現了從超分辨成像到超衍射極限光刻製造的重大創新。

在蝕刻機方面,在2018年下半年也傳出喜訊,我國攻克5nm蝕刻機,上海中微半導體已經成功的研發出了可以用於5nm工藝生產的蝕刻機,近日已經通過了臺積電的驗證,並且未來將被臺積電用來生產5nm工藝的芯片。

如今,我們在光刻機和蝕刻機這款核心裝備上都取得了不小的突破,同時也在不斷地追趕,縮小與國外的差距,要不了多久,我們定能再次有所突破的。



大美簡單


先說高尖車床,全球有不了幾臺,你無車床,把圖紙發給你也出不了,別說光刻機了,比上天難一萬點。


美利堅28


國家層面應該及早成立中國半導體集團、中國光刻機集團!獨立自主創新研發自己的核心技術。


晴空物語聽雨軒


說這話沒意思!主要是國內的市場會不會優先用國產機器。有了錢再根據實際要求改進,發展。國家,民眾要有耐心和智慧。


分享到:


相關文章: