03.05 我國能生產光刻機嗎?

我不是稻草人9


光刻機最近比較火是因為中興被美國封殺事件不得使用高通芯片最終近期高通不得不全線產品使用聯發科的產品,由此可見芯片對於手機產業的重大影響。


光刻機是芯片製造的最核心設備之一,可以分為幾類:

1,用於生產芯片的光刻機;

2,用於封裝的光刻機;

3,用於LED製造領域的投影光刻機。

一、中國光刻機與世界的差距

用於生產芯片的光刻機是我國半導體設備的最大的短板。光刻機域的老大是荷蘭的ASML,該公司生產的EUV系列光刻機售價高達1億美元,並且只有ASML能夠生產,可以說asml幾乎壟斷了光刻機的高端市場。

目前國內光刻機和國外的差距是極為巨大的,但是我們能看到的是,光刻機是我過要重點發展的行業。國家扶植。

由於美國主導《瓦森納協議》的限制,中國根本不可能進口光刻機的高端設備。只能有機會買到ASML的中低端產品。舉例:Intel、三星、臺積電2015年能買到ASML10 nm的光刻機。而國內,2015年只能買到ASML 2010年生產的32 nm的光刻機,5年時間對半導體來說,已經足夠讓市場更新換代3次了。這就導致即使通過設備學習國外的光刻機技術,中國已經落後三代產品,更不用說觀看和拆解根本不可能學會光刻機新技術。


由於進出口貿易的限制,國內目前沒辦法安裝ASML EUV光刻機,所以也導致無法學習最先進的光刻機技術。目前國產光刻機與國外差距太大,根本無法在高端市場上參與競爭,。根據預估,近年來我國每年集成電路產品進口金額與每年原油進口金額大致相當,每年已經超過2000億美元。

二、中國光刻機發展歷程

中國最好的光刻機廠商上海微電子(SMEE)已經量產的光刻機中,性能最好的設備相當於2004年上市的奔騰四CPU的水準。所以由此可知,中國至少落後於國外14年,這對於2年更新一次的光刻機行業,中國落後了7代。


2008年國家將EUV光刻技術列作為重要課題,長春光機承擔起了“極紫外光刻關鍵技術研究”項目研究工作。此後《中國製造2025》將EUVL列為了集成電路製造領域的發展重點,並計劃在2030年實現EUV光刻機的國產化。

8年後的2016年,項目提前完成測試,突破了現階段制約我國極紫外光刻發展的核心光學技術,初步建立了適應於極紫外光刻曝光光學系統研製的加工、檢測、鍍膜和系統集成平臺。這才進行中國光刻機時代的奠基。而asml光刻機已經誕生了30年,市場份額高達80%。

極紫外光刻被公認為是最具潛力的下一代光刻技術,面對的是7nm和5nm節點,代表了當前應用光學發展最高水平。


毛琳Michael


當然可以。

我國上海微電子裝備有限公司是專門研發和生產光刻機設備的科技公司。光核機技術水準在90納米。


無錫影速半導體科技有限公司,是由中科院微電子研究所聯合業內資深技術團隊成立的微電子高科技公司,生產亞微米半導體制版光刻設備等,技術水準在200納米。


合肥芯碩半導體有限公司,這家成立比較早,2006,是承擔國家兩項02專項任務民營高科技公司,主要生產半導體光刻設備。水準200納米內。


京先騰科技有限公司,發起人杜成群。杜成群博士先在清華任教,後留學荷蘭,在荷蘭ASM𠃊公司從事光刻研究,擁有60多項光刻技術專利。2010回國為國內芯片出力。水準在800納米。

還有許多公司都在研發光刻設備。有共同特點都是起步較晚,要趕上國際水準,還需付出更多努力。需要更多人才投入。

關注我。 歡迎評論 點贊 後續更多分享!


牛頭馬面兩鬼


歡迎在點擊右上角關注:「太平洋電腦網」,不定時放送福利哦。

答案是:可以的!

但是先別這麼高興,因為我們能夠生產的出來的光刻機,跟荷蘭的ASML光刻機差距真的很大啊!

我國生產光刻機最好的是:上海微電子!這是中國唯一一家生產高端前道光刻機整機的公司,同時華卓精科是唯一的光刻機工件臺供應商。

上海微電子目前承擔著國家科技重大專項“極大規模集成電路製造裝備與成套工藝專項”的65nm光刻機研製。而且是正在承擔著65nm的研製,能不能研發成本還需要看以後的情況。

差距很大

大家可能不知道65nm是一個怎麼樣的水平哦。我就直接坦白地說:荷蘭的ASML的目前的水平是14nm!

這個差距真的很大。而且更加恐怖的的,荷蘭的ASML目前也在研發更加精細的製程,10nm已經投入使用,7nm的也在準備了。我們目前還在研發65nm。那就意味著我們的不僅比別人落後,如果要想超越別人,一定要跑得比別人更快。

研發費用巨大

而且更加恐怖的是,製程越小,研發費用越大。每更新一代都是上億美元的投入,90nm到65nm可能研發是X億,但是從65nm往更小製程研發可能是幾個X億的資金投入。所以研發出來了要市場化投入使用才能使得企業運營下去。

同時,由於美國主導的《瓦森納協議》,我們不能從荷蘭進口ASML的先進的光刻機。我們提出了《中國製造2025》,這一個目標匯中,包括集成電路製造領域的發展重點,我們最終的計劃是在2030年實現EUV光刻機的國產化。

這個對於我們來說,難度真的非常大。荷蘭ASML的領先程度到了什麼程度了?當初英特爾扶持尼康,防止ASML獨大,但是尼康的在芯片光刻機市場競爭不過ASML,ASML實在太強大了。

不過,就算道路再難,我們還是得走下去。中興給我們的教訓可以說是非常深刻的,我們一定要有自主能力。

畢竟這是起步的初期,路漫漫其修遠兮。


太平洋電腦網


光刻機又叫做:掩膜對準曝光系統,光刻系統等,簡單的來說光刻就是將硅圓表面塗上光刻膠,通過光將掩膜版上覆雜的電路結構複製到光刻膠上面的過程,我國目前能生產光刻機的主要有以下幾家:


  1. 上海微電子裝備有限公司,已經量產90nm光刻機,其65nm光刻機商用量產也已經提上日程
  2. 中子科技集第四十五研究所光電已經量產的是1500nm光刻機
  3. 先騰光電科技已經量產800nm光刻機
  4. 無錫影速光電科技目前已經量產200nm光刻機

談到光刻機就不得不談到ASML,這個光刻機行業說一不二的龐然大物,只有它能生產7nm級別的光刻機,每臺7nm製程光刻機售價1.2億美元,17年產量只有12臺,預計今年達到18臺,至於其“一視同仁”的態度,中國不知道哪一年能買到一臺,中國光刻機企業在其面前就是一個小孩。



很多人都有疑問中國在晶圓材料,蝕刻機,e—beam,掩膜,標靶,封裝等領域都有了巨大突的突破,華微電子的芯片材料和中微半導體科技的蝕刻機都是世界一流,為什麼單單光刻機攻克不了。

第一沒有足夠的技術和人才積累,半導體行業在我國起步晚,在國外的技術封鎖之下,技術底子薄,尤其在機械精度方面差距甚遠,高端光刻機需要做到兩個平臺同時運動,而差距不能超過2nm,這而一根頭髮的寬度是60000nm。


第二沒有頂尖的零件,ASML的零件全是各行業的龍頭企業提供,鏡頭來自德國蔡司,光源來自沒過cymer,機器組裝來自韓國和臺灣,都是各行業最頂尖的存在,而中國什麼都得自己來。

第三沒有足夠的資金,ASML每年的研究開發費用都是以十億美元為單位,中國企業雖然在國家扶持之下,有了更多的研發資金,但是顯然還達不到這個水平。


中國芯片行業的進步是毋庸置疑,同時也要直面一些方面巨大的差距,發揚中華民族吃苦耐勞的民族精神,通過自己的努力把路一步一步走出來,因為落後只能被欺負。

如鯨向海鳥投林


我國能生產光刻機。只是在技術水平上與國外最先進的有差距。

不過我們一直沒放棄努力,現在已經有望縮小差距了。再堅持一下,黎明前的黑暗就會過去。

光刻機是芯片生產的關鍵設備之一。

芯片生產,需要用到幾個最關鍵的設備:分別是光刻機、刻蝕機、清洗機、等離子注入機。我們都能生產。刻蝕機已經達到世界最頂尖水平。清洗設備和等離子注入也堪用。現在差的就是高精度的光刻機。

光刻機有什麼用呢?下面通俗說一下光刻機在芯片生產中的作用。

下面把芯片生產比喻成木匠雕花,可以方便普通人理解。(二者主要是精度差別,材質差別。木匠雕花精度到毫米即可,芯片要到納米。木匠用木頭雕刻,芯片用硅的晶圓雕刻)

芯片生產:

第一步:設計。芯片設計公司進行設計,最後出圖。這就像木匠雕花,先由設計師畫圖。

第二步:備料。芯片的主料是圓晶,就是硅,當然還要用些輔助材料。木匠買來木料等。

第三步:放樣。這時要用到光刻機了。要用光刻機把設計好的圖紙畫到圓晶上。這裡要求精度必須和設計精度匹配。如果這一步做不了,後面就只能乾瞪眼了。木匠也要放樣,根據圖紙,在木料上把要雕刻的圖樣描畫好。。

第四步:施工。這時刻蝕機上場。有等離子刻蝕或者化學刻蝕可選。刻蝕時按圖施工。這就好比木匠師傅按畫好的圖案雕刻,使用鑿子,刻刀是一樣的。施工中要注意保持環境衛生。

第五步:清洗。其實是和施工混合在一起的,邊施工邊清洗。這就好比木匠雕刻時用毛刷,或者用嘴巴吹木屑。只是芯片要求的清洗超級嚴格。

第四、第五步要重複多次,具體情況視加工芯片的複雜情況而定。

第六步:封裝。施工完畢後要保持住施工成果,隔絕一切可能的傷害,芯片封裝要求也很高,要用到離子注入等設備。木匠這環節簡單。施工完畢後,現場都清理乾淨了,弄點清漆把作品保護好。

如果不能用高精度的光刻機放樣,是生產不出來高水平的芯片的。光刻機在製造流程中要使用多次,包括最後的封裝環節也要用。

下面說說光刻機的市場狀況:

現在高等級的光刻機世界上有美國、荷蘭、日本三個國家5個公司能生產。分別是荷蘭的ASML、日本的Nikon、日本的cannon、美國的ultratech以及我國的上海微電子(SMEE)。

這五家裡面,荷蘭的ASML一家獨大,完全壟斷了高精度光刻機。

目前還在追趕的,只有中國,其他幾家都放棄了。因為難度太大。

目前ASML的技術是10nm,馬上是7nm。

上海微電子的技術是90nm。

我們能買到的最新設備的技術是中芯國際即將投產的生產線14nm。

下圖是上海微電子生產的光刻機

好消息是2017年,長春光機所承擔的國家科技重大專項項目“極紫外光刻關鍵技術研究”順利通過驗收,這標誌著國產22-32 nm設備就要出來了。我們離ASML又近了一步。

這裡,我們要感謝那些默默無聞風險在科研領域的人,他們是中國自強不息的脊樑。下面照片是驗收後科研人員合影留念。為這個突破,花了16年時間。讓我們向他們致敬。


仁觀天下


我國現如今有在生產和研發高端精準的光刻機。光刻機又稱掩模對準曝光機,常用的光刻機為Mack Aligh Ment System。一般要經歷硅片表面清洗烘乾、塗底、旋塗光刻、軟烘、對準曝光、顯影、硬烘、刻蝕等的工藝工序。



高端的投影型光刻機的分辨率通常在十微米至幾微米之間。在光刻機時代裡,高端光刻機稱的上世界最精密的儀器,有現代光學工業之花的美譽。但它的製造難度相當大,世界只有幾家能夠製造。其中以荷蘭的ASML、日本的Nikon和Canon等品牌為主。



光刻機分辨率受光源衍射的限制,經光刻工藝加工能達到最細線條的精度。其對準精度為多層曝光時層間圖案的定位精度。而光源波長則分為紫外、深紫外和極紫外區域、光源汞燈、準分子激光器等等。



現今世界上光刻機種類有:

1、接觸型曝光光刻機,為掩膜板直接與光刻膠層接觸的,根據施加力量的不同分為軟、硬接觸和真空接觸。

2、接近型曝光指掩膜板與光刻膠基底層保留一個微小的縫隙(Gap),Gap值大約為0~200微米。

3、投影型曝光為掩膜板與光刻膠之間使用光學系統聚集光來實現,分為掃描投影曝光和掃描步進投影曝光等。



在我國上海SMEE己研製出具有投影的光刻機。並形成產品系列,初步實現銷售海內外。目前也正在進行各系列產品的研發製作工作。低端光刻機分為接近、接觸型光刻機,分辨率通常在數微米以上,主要用於生產線和研發 。其品牌有德國SUSS、美國MYCRO.NXQ4006以及一些國產品牌。

當然,我國在製造高端的高精度國產光刻機還有一定的難度。高精度光刻機它要求具有特殊的機械工藝設計,需要具備近乎完美的精密機械工藝。如Mycro N&Q光刻機採用的全氣動軸承設計,能有效避免軸承機械摩擦所帶來的工藝誤差等等。


夏至初夏一海岸線


讓你失望了,這個能力中國暫時還沒有的,光刻機的原理我們都懂但是做出來有很大難度,目前光刻機技術背被國外壟斷,只有中國自主研發,但是技術研發需要人才投入,好的設備等等都是目前的難題,我想中國肯定會研發出來的,僅僅是時間的問題。


M博啟


從此次美對中興手機事件來看,核心技術只能自己開發,發別人的是不能長遠!只要用心去作沒有什麼不可以的,全民研發用心去作光刻機,芯片都是小Ks


運河陶山


最近一段時間中興被制裁事件一石起千浪,跟芯片有關的光刻機也被大家推上熱點,那動不動就幾千萬美金的光刻機我國能製造嗎?

我們先來掃盲下光刻機

說到到光刻機如果不是科班出身,估計很多人都不知道這是什麼樣的一個東東。但是我們日常使用手機的CPU製造工藝都離不開光刻機。



光刻機又稱掩模對準曝光機,或者曝光系統,光刻系統,簡單來說就是利用光來製作一個圖形(工藝)

高端光刻機號稱世界上最尖端的科技稱現代光學工業之花,其製造難度之大,全世界只有少數幾家公司能夠製造。

光刻機有什麼作用?

光刻機有什麼作用呢?為何高端光刻機一臺能賣幾千萬美金,甚至上億美金呢?

光刻機是芯片製造的核心設備之一,按照用途可以分為好幾種:有用於生產芯片的光刻機;有用於封裝的光刻機;還有用於 LED 製造領域的投影光刻機。

其中用於生產芯片的光刻機是技術難度最大的。

芯片光刻機就是把芯片製作所需要的線路與功能區做出來。利用光刻機發出的光通過具有圖形的光罩對塗有光刻膠的wafer曝光,光刻膠見光後會發生性質變化,從而使光罩上得圖形複印到wafer上。

我國能生產光刻機嗎?

答案:能,但只能生產中低端的光刻機。

目前國內最知名的光刻機企業有幾家。

上海微電子裝備有限公司(SMEE)已量產的光刻機中性能最好的,技術水準是90nm。



無錫影速半導體科技有限公司,技術水準200納米。

合肥芯碩半導體有限公司,技術水準200納米。

其他都是200納米以外。

當前我國高端光刻機完全依賴進口

雖然我國目前也能生產光刻機,但是我們不得不面對的一個現實是,用於生產芯片的光刻機是中國在半導體設備製造上最大的短板,國內晶圓廠所需的高端光刻機完全依賴進口。

高端光刻機一般都是能達到45納米以上的水準,而目前我國最好的光刻機只有90納米。

目前世界上能生產高端光刻機的也就幾家,除了龍頭老大 荷蘭ASML外,尼康和佳能也能生產。



但是在市場份額上ASML佔據了絕對市場份額。而且他家掌控著目前最尖端的技術。所以價格賣得很貴。像ASML的 EUV NXE 3350B 單價超過1億美元,ArF Immersion售價大約在7000萬美元左右。


貸款教授


為什麼不能用3D打印技術呢?先在基板上‘按設計的要求排列上半導體材料,再塗上一層絕緣層。再在各點需連接處點上腐蝕材料,經過腐蝕清洗,再在這些點上噴上金屬連線。然後再再塗絕緣層,再清蝕出連接點,再排列上設計要求的半導體材料,再塗上絕緣層,再清蝕出連接點。再噴上金屬連接錢。這樣重複多次,是不是可以得到立體多層的芯片呢。是不是把複雜的芯片工藝簡單化了呢。是不是芯片製作的另一種方法呢。有沒有人做過這方面的研究呢!


分享到:


相關文章: