一個FPGA小白的自述

知道明德揚科教是一個偶然,但後來耐心看完明德揚知識大串講系列視頻卻是一個必然。因為做得用心,所以看得放心。

本人是一個純FPGA小白,就連FPGA這個名詞我都是最近才知道,所以如果你也正想入門學習FPGA的話,請耐心看下去吧,相信你看完絕對不會後悔。

因為最近參加了一個集成電路類的比賽,需要用FPGA進行功能的實現,無奈我們專業目前還沒有開設這門課,於是就只能自己開始著手自學了。經過我們老師的推薦,我第一次瞭解到了明德揚科教,瞭解到這樣一個這麼純粹做技術和教育的機構,免費看完潘老師知識大串講系列視頻後頗有感觸,下面我來向大家分享一下作為一個FPGA小白的學習經歷吧!

首先大家可能會疑問學習FPGA需要什麼基礎知識,實話告訴你,基本不需要。我在學習FPGA之前除我們專業開設的模擬電子技術課外,自己只是自學了一下51單片機開發和數字電子技術的一些最簡單基礎的東西,最後發現用到的就是一個二進制和一些基本的門級電路知識,其次就是一種編程思維的培養,但我相信作為想學FPGA的你應該也多少具備一些這類知識吧。如果沒有的話不妨簡單看看吧。

一個FPGA小白的自述

視頻的六個部分


知識大串講系列視頻一共由六個部分組成,分別是:學習誤區、FPGA知識大串講、組合邏輯、D觸發器、時序邏輯和FPGA時序。每個視頻針對性地講一個小點,條理清楚,邏輯清晰,由淺入深,循序漸進,非常適合新手入門。這套視頻不像其他有些視頻一樣很冗長,讓人一看到視頻的時長就望而卻步,這套視頻最長的不過半個小時,最短的也就五分半,讓人看著不會覺得很枯燥。日常刷一個小視頻的時間而已,而且還能學會很多東西,何樂而不為呢?

一個FPGA小白的自述

明德揚知識大串講系列視頻的知識體系


大家可能還會疑惑一個問題:在這麼短的時間內真的能學到知識嗎?誠然,在如此短的時間內想要掌握一門技術有些不太實際,但我看完視頻的經歷告訴我,這套視頻只要你認真看,理解潘老師講的每一個細節,看不明白的地方就反覆看看,再結合自己的理解思考一下,有些問題你就會恍然大悟。而且視頻中有些言語非常地道幽默,所以也很讓人容易理解接受。另外潘老師每個視頻只針對一個小點進行講解和分析,所以也很容易聽懂,當然大家也不要有會聽不懂的顧慮了。當然,對於能力比較強的初學者來說1.5倍速播放可能更適合你!

大家都知道,一個工程的好壞不在於代碼量的多少,不是說實現一個功能用的代碼越少越好。評價一個工程的好壞要看綜合出來的電路,如果一個工程所在效率滿足的前提下,所調用的資源最少、可維護性高、拓展性強、代碼精簡便於閱讀,那麼這就是一個好的工程。關於代碼,潘老師在視頻中也詳細教了一些方法和思維,以下是我學習後的一些思考和總結。

不要試圖用軟件思維去編寫代碼。因為軟件思維是在單片機編寫程序時運用廣泛的一種思維,主要通過一些串行或並行輸出的代碼去控制單片機處理一些問題,這樣就會涉及到一些諸如延時和初始化這樣的代碼,但在FPGA中則不一樣,在FPGA中是電路的反應,只要一通電就開始執行。在FPGA中也沒有for循環和while循環、高阻態和不定態的說法。關於時序的功能都是通過時鐘來實現的。FPGA是使用Verilog語言進行編寫的,Verilog中文翻譯又叫硬件描述語言,不是一種設計語言。所以我們在做一個FPGA設計之前,其實已經有硬件的電路結構在頭腦裡面了,我們只是用Verilog語言把它描述出來而已,絕不是用Verilog設計一門電路出來,這個思維模式是完全不一樣的。

一個FPGA小白的自述

FPGA中是電路的反應


軟件思維編寫的代碼可讀性較差,而且和硬件思維編寫的代碼比起來運行的時候更耗時。以下是兩者實現一個攝像頭輸入模塊採集功能的代碼對比:

一個FPGA小白的自述

軟件思維編寫的代碼


一個FPGA小白的自述

明德揚規範的代碼


可以看出,兩種思維的代碼相形見絀。用軟件思維寫出的代碼不僅結構冗長、可讀性差,而且理解起來也比較麻煩。同樣是實現一個攝像頭輸入模塊採集功能,攝像頭輸入過來有三個信號:時鐘、使能和數據。數據分別用兩個字節的二進制數的值來表示一個像素點中RGB的值,我們主要做的就是把這兩個值通過一個16bit的總線輸入到下一個模塊。軟件思維就是定義一個變量進行加一運算,而明德揚規範中就用一個取反就解決了。這只是一個簡單的例子,如果不夠明顯的話,接下來我們來看一個稍微複雜一點的例子。

現在我們需要實現一個幀頻率的計算,統計兩秒鐘內輸入幀的個數,然後把得到的數除以2就得到一秒鐘的幀頻率。下面我們來一起看看這個功能用兩種不同代碼實現的區別:

一個FPGA小白的自述

軟件思維寫出的代碼


一個FPGA小白的自述

明德揚規範的代碼


大家不難看出,用軟件思維寫出的代碼不僅繁雜,理解起來也比較困難,而明德揚規範的代碼則顯得更簡潔、高效,效率也是不可同日而語的。

另外我們還不難發現一點,潘老師和明德揚規範的代碼結構和語法非常簡單,很多語法幾乎都只是用得到了if和else這些最簡單的語句,所以我們在學習語法上其實不用花費太多的時間,這也為我們新手入門降低了很多難度,節省了很多寶貴的時間。

一個FPGA小白的自述

明德揚規範的代碼結構和語法


另外潘老師個人講課也比較有特點,雖然潘老師普通話不是特別標準,但這並不影響我們對於知識和思維的學習。

潘老師研發經驗非常豐富,曾在華為這種世界500強的公司工作過,做過很多項目的開發,所以實戰經驗豐富,講的很多東西實用性比較強。特別是對於我這種是抱著參加競賽的小白來說幫助很大。

我們都知道,想要提要時鐘頻率就要減小時鐘週期,但因為D觸發器和線路之間傳遞的那部分延時是由物理器件決定的跟器件的工藝和材料等因素有關,我們沒辦法進行修改,我們能做的就是對組合邏輯那部分電路的延時減到最小。這條把延時減到最小的路徑也叫關鍵路徑。

一個FPGA小白的自述

關鍵路徑


老師在一開始就講過在FPGA裡面的電路是一通電就可以工作,指的是對於最基本的門電路而言的。於是老師就舉了他在海思裡面做項目的一個例子:要把一個集成電路跑到2G的頻率!可想而知這需要多麼小的時鐘週期,他們的方法就是把所有能拆的組合邏輯都拆成了基本的門電路,甚至連選擇器他們也不放過,只為了一步步提高頻率。就這樣一直不停地拆,直至把任何兩個寄存器之間的延時縮小到最小最小,從而達到就可以達到提高時鐘頻率的目標,這個方法也叫流水線設計。

一個FPGA小白的自述

減小時鐘週期


潘老師講課還善於聯繫現實生活進行分析。在講到關於狀態機的設計時,為了將狀態機設計的目和過程一目瞭然,於是便聯繫生活講到了我們日常生活中常見的自動售貨機的設計。

一個FPGA小白的自述

自動售貨機的設計


並且還就這個例子講到了代碼設計的三段論格式,讓設計代碼顯得非常實用高效,便於分析。

一個FPGA小白的自述

自動售貨機代碼的設計


總而言之,看完整套視頻後,作為一個小白的我感覺對於FPGA有了一個大概的瞭解了,讓原本在門外徘徊的我慢慢地就走進了門裡。在這裡常感謝明德揚科教提供的這個讓我免費學習的機會。

以上就是我看完明德揚知識大串講系列視頻後的一些收穫和總結,希望能給同樣熱愛學習的你帶來一些啟發!也讓我們在學習FPGA的道路上共同進步吧!


文末給大家帶來一些福利,免費贈送《FPGA知識大串講》課程供大家學習。

課程鏈接:

https://pan.baidu.com/s/1OhNfA6MQYiYpzq1TOUJKlQ

提取碼:qwl9


分享到:


相關文章: