中國蝕刻機突破瓦森納協定清單,光刻機技術突破箭在弦上!

美國為首西方《瓦森納安排》對中國全方位高技術封鎖,特別是美國為首西方國家對華為中興的打壓,但都阻擋不了中國國產高端科技邁向國際高水平行列的步伐。

中國蝕刻機突破瓦森納協定清單,光刻機技術突破箭在弦上!

全球最大的芯片代工廠臺積電已經在2019年第二季度進行5nm製程的風險試產,現在已經實現5nm的量產。編者獲悉,其採用的半導體刻蝕設備正是由國內中微半導體供應,自28nm製程時該公司就已經開始與臺積電密切合作,一直到今天的10nm和7nm,而如今該公司自主研發的5nm等離子體刻蝕機也通過了臺積電的層層審核與驗證,性能優良,已經用於鋪設全球首條5nm製程生產線。

國產5nm刻蝕機雖然獲大突破 雖打破西方國家高科技封鎖壟斷依然任重道遠。

中國蝕刻機突破瓦森納協定清單,光刻機技術突破箭在弦上!

也許在很多人看來,刻蝕技術並不如光刻機那般“高貴”,但刻蝕在芯片的加工和生產過程中同樣不可或缺。實際上,刻蝕機主要是按照前段光刻機“描繪”出來的線路來對晶片進行更深入的微觀雕刻,刻出溝槽或接觸孔,然後除去表面的光刻膠,從而形成刻蝕線路圖案。通俗來講,光刻機的作用就好比雕刻之前在木板或者石板上描摹繪線,而刻蝕機則需要嚴格按照光刻機描繪好的線條來“雕刻”出刻痕圖案。所以,在芯片的整個生產工藝過程中需要先用到光刻機,然後才用到刻蝕機,接下來重複地使用兩種設備,直至完整地將設計好的電路圖搬運到晶圓上為止。

但在過去,由於大陸並不具備可量產半導體刻蝕設備的能力,基本上都是依靠進口,而美歐等國為防止中國半導體產業的進步,多年以來一直對該領域實行技術封鎖。

中國蝕刻機突破瓦森納協定清單,光刻機技術突破箭在弦上!

由於半導體設備動輒千萬上億的研發成本以及超高的技術難度,導致中國大陸在該領域一直以來走的十分艱難。不過,2015年之後,中國本土逐漸成長出了以中微半導體為代表的多家半導體刻蝕設備供應商(比如主攻硅刻蝕和金屬刻蝕的北方華創等),且因中微在等離子體刻蝕機的質量和數量上逐漸比肩國際大廠,躋身一線水平,美國商務部也因此取消了對中國刻蝕機的出口管制。瓦森納清單被突破一條 。

中微半導體躋身國際一流一線刻蝕機供應商行列。

今年4,中微半導體CEO尹志堯在公開場合表示公司已在全球各地建置共計582臺刻蝕反應臺,並預期今年底將增長至770臺。目前中微半導體產品已經進入第三代10nm、7nm工藝以及新一代5nm工藝,並通過了晶圓廠的驗證生產,下一階段將逐步進入3.5nm工藝等世代的研發。

芯片量產工藝流程

誠如上述,儘管從刻蝕設備上來看,本土企業的確是打破了美歐等大國的技術壟斷,但這並不意味著量產諸如7nm、5nm甚至更低製程的芯片就沒有問題了。實際上,一顆芯片的生產流程和工藝不僅耗資巨大且十分複雜,而刻蝕僅僅只是芯片生產眾多工序中的一環(如上圖),在其前段和後段還有非常多具備高難度的生產工藝,比如薄膜澱積、光刻以及離子注入和CMP等。而本土芯片代工企業大如中芯國際目前也僅僅攻克了14nm技術,2019年才能量產,與臺積電、英特爾以及三星等國際大廠的10nm甚至7nm的進展相比仍存在很大一段距離,這並非是短短几年就能夠獲得突破甚至趕超的。因此,在國產半導體設備或更多先進技術取得突破與進步時,我們應該以更為理性和全局的視角來看待,不吹不擂、不驕不躁。

中國蝕刻機突破瓦森納協定清單,光刻機技術突破箭在弦上!

刻蝕機成功了!那光刻機呢?眾所周知,相比刻蝕設備,光刻機的製造難度更大、成本也更高,被譽為現代光學工業之花。目前,全球也僅有少數幾家公司能夠製造,售價高達7000萬甚至上億美元,像當今全球市場佔據80%份額的荷蘭ASML旗下最新的EUV NXE 3350B光刻機單價就高達1億美元,ArF Immersion的售價也大約在7000萬美元左右。曾經,上海微電子裝備公司總經理賀榮明去德國考察時,就有工程師告訴他:“給你們全套圖紙,也做不出來。”因為光刻機的鏡片就好比把反射鏡放大到整個德國,還需要保證最高的凸起處不超過一公分的精度,由此足見光刻機設備的製作難度之高。

具體來講,位於光刻機中心的鏡頭由20多塊鍋底大的鏡片串聯組成,每個鏡片都需要高純度的透光材料和高質量的拋光才能使用,以SMEE(上海微電子裝備公司)光刻機所使用的鏡片為例,每個鏡片價格都高達數萬美元,而業內頂級的ASML的鏡片是以德國蔡司光學鏡片技術為基礎的,鏡片的材質做的非常均勻,瑕疵僅以pm(即nm的千分之一)計,這一般需要十年到上百年的技術沉澱。正如SMEE總經理賀榮明所說,“同樣一個鏡片,如果由不同工人去拋光打磨的話,光潔度一般相差十倍,在德國做這類鏡片拋光的工人,祖孫三代都是在同一家公司的同一個職位上一直做下去的。”

中國蝕刻機突破瓦森納協定清單,光刻機技術突破箭在弦上!

此外,光刻機需要體積非常小、功率很高且穩定的光源。像ASML目前的頂級光刻機,使用的短波長極紫外光的光學系統十分複雜,其中不僅有頂級的鏡頭和頂級的光源,還要做到非常極致的機械精度,機械動作誤差一般在皮秒(兆分之一秒)級別,比如光刻機內部兩個同步運動的工件臺,底片臺和膠片臺都需要做到始終同步且誤差在2nm以下,由靜到動,二者的加速度堪比導彈發射。更形象比喻,就好似兩架大飛機從起飛到降落始終齊頭並進,一架飛機上伸出一把刀,在另一家飛機的米粒上進行高精度的刻字作業。而且,溫溼度和空氣壓力變化也會影響到對焦,機器內部溫度的變化要控制在千分之五度左右,這需要有合適的冷卻方法和精準的測溫傳感器。像目前國內SMEE最好的光刻機,其中包含13個分系統、3萬個機械件以及200多個傳感器,其中每一個都要非常穩定,否則任何一個出狀況都是全盤皆輸。

中國蝕刻機突破瓦森納協定清單,光刻機技術突破箭在弦上!

隨著當今全球頂級芯片量產製程從10nm逐步下探到7nm甚至5nm,未來越來越多的芯片無疑會以10nm和7nm為主,極紫外光的EUV光刻設備也將由此躋身為半導體芯片代工廠們的主要採購對象。但在單臺如此高的價格壓力以及ASML設備排期股東(英特爾、臺積電以及三星等)優先的策略下,實現光刻機的國產化以及本土半導體芯片代工的“鹹魚翻身”就成了當前整個國家密切關注的話題。那麼,我國的光刻機研發情況究竟如何了?

中國蝕刻機突破瓦森納協定清單,光刻機技術突破箭在弦上!

在企業端,目前國內半導體光刻設備領域的主要玩家是上海微電子裝備公司即SMEE。據悉,清華大學聯合華中科技大學、上海微電子裝備有限公司和成都工具所3家單位於2016年就已完成了光刻機雙工件臺系統樣機的驗收,令我國成為國際上少數幾個能夠研製光刻機雙工件臺這一超精密機械與測控技術領域最尖端系統的國家。而在今年5月,上海微電子裝備有限公司就已經完成了第100臺國產高端光刻機的交付,目前該公司製造的產品主要包含90nm、130nm以及280nm等不同分辨率節點要求的ArF、KrF以及i-line步進掃描投影光刻機,其目前最好的光刻機加工精度是90nm,相當於2004年上市的奔騰四CPU的水準,所以技術水平還是難以媲美國際的。

除了企業端,國內研究機構也在大力開發高水平的光刻機設備。2017年6月,中國科學院長春精密機械與物理研究所開展的“極紫外光刻關鍵技術研究”項目就已被成功驗收,該項目突破了制約我國極紫外光刻發展的超高精度非球面加工與檢測、極紫外多層膜、投影物鏡系統集成測試等核心單元技術,成功研製了波像差優於0.75nm RMS的雙鏡EUV光刻物鏡系統,構建了EUV光刻曝光裝置,是國內首次獲得EUV投影光刻32nm線寬的光刻膠曝光圖形。而今年11月29日,中國科學院光電技術研究所承擔的國家重大科研裝備即超分辨率光刻設備項目也在成都通過驗收,成為國際上首臺分辨力最高的紫外超分辨光刻裝備,據悉該設備採用的是365nm波長光源,單次曝光最高線寬分辨力可以達到22nm,結合多重曝光技術之後可以用於製造10nm級別的芯片。

中國蝕刻機突破瓦森納協定清單,光刻機技術突破箭在弦上!


綜上所述,半導體刻蝕技術的突破以至領先只是我國半導體設備高端化之路的第一步,在更為關鍵且挑戰巨大的光刻技術方面,實際上我國也並沒有落後多少,因此我們也不必妄自菲薄。儘管當前在企業端國內僅有SMEE一家能夠實現低端光刻機的量產交付,但從整體上來看本土在光刻設備的研究上已經能夠做到製造10nm芯片的水平,具備了一定的追趕國際水平的基礎,相信經過未來多年的持續努力投入,本土光刻技術定能厚積薄發,像3G到4G、4G到5G技術那樣,從落後到追趕、從追趕到超越!編輯於 2020-4-23· 著作權歸作者所有圖文來自網絡傳播正能量科普教學之目的如侵犯您合法權益請聯繫刪除謝謝!


分享到:


相關文章: