Xilinx 7系列FPGA架構之SelectIO結構(一)

引言:從本文開始我們介紹Xilinx 7系列FPGA的SelectIO資源結構及使用,我們在進行FPGA外設硬件及軟件設計時,如ADC、PHY、DDR3等,通常會涉及到該資源。本節我們介紹以下知識點:

  • SelectIO資源概述及結構
  • SelectIO管腳通用設計指導

1.I/O概述

7系列FPGA I/O bank分為高性能(HP)banks和寬範圍(HR)banks。HP I/O banks可以實現高性能存儲器接口或者芯片間高速接口,支持電壓最大1.8V,HR I/O banks支持更寬範圍的I/O電平標準,最大支持3.3V。這兩種I/O banks支持的I/O特性如圖1所示。

Xilinx 7系列FPGA架構之SelectIO結構(一)

圖1、HR和HP I/O banks支持的特性

注意:

  • 並不是所有的I/O標準和電流驅動強度在HP和HR I/O banks都支持。
  • 儘管LVDS一般認為是2.5V I/O標準,但是在HR和HP I/O banks中都支持。

2.SelectIO資源介紹

所有的7系列FPGA具有可配置的SelectIO驅動器和接收器,支持寬範圍的可變標準接口,支持可編程輸出電流,邊沿速率,DCI片上端接和內部產生參考電壓(INTERNAL_VREF)。

Xilinx 7系列FPGA架構之SelectIO結構(一)

圖2、可配置I/O參數

注意:HR banks沒有DCI,因此任何需要參考DCI的應用不能使用HR banks。

除了某些例外,每個I/O bank包含50個SelectIO管腳。在每個bank的末端有兩個只能用作單端I/O使用的管腳,剩餘48個管腳可以用作48個單端I/O或者24對差分I/O。每個SelectIO可以配置為輸入、輸出或者三態驅動。

Xilinx 7系列FPGA架構之SelectIO結構(一)

圖3、I/O bank管腳

SelectIO管腳可以配置為單端和差分信號:

  • 單端I/O標準(例如LVCMOS、LVTTL、HSTL、PCI和SSTL)
  • 差分I/O標準(例如,Mini_LVDS、RSDS、PPDS、BLVDS和差分HSTL和SSTL)

圖4顯示了HP bank只能配置為單端信號的IOB內部邏輯和器件管腳(PAD)連接,其對應的原句如圖5所示。

Xilinx 7系列FPGA架構之SelectIO結構(一)

圖4、只能作為單端管腳的HP IOB結構

Xilinx 7系列FPGA架構之SelectIO結構(一)

圖5、單端HP IOB原句

圖6位常規HP IOB結構框圖,該類型管腳可以配置為單端或者差分信號,其原句如圖7所示。

Xilinx 7系列FPGA架構之SelectIO結構(一)

圖6、常規HP IOB結構框圖

Xilinx 7系列FPGA架構之SelectIO結構(一)

圖7、常規HP IOB原句

圖8為只能作為單端管腳的HR IOB結構框圖,圖9為其對應的原句。

Xilinx 7系列FPGA架構之SelectIO結構(一)

圖8、只能作為單端管腳的HR IOB結構

Xilinx 7系列FPGA架構之SelectIO結構(一)

圖9、只能作為單端管腳的HR IOB原句

圖10為常規HR IOB結構框圖,圖11為其對應的原句。

Xilinx 7系列FPGA架構之SelectIO結構(一)

圖10、常規HR IOB結構框圖

Xilinx 7系列FPGA架構之SelectIO結構(一)

圖11、 HR IOB原句

3.SelectIO資源通用設計指導

3.1 7系列FPGA I/O Bank規則

在7系列FPGA器件中,每個I/O bank包括50個IOB資源,Bank的數量和器件大小和封裝管腳有關。例如XC7K325T有10個可用的I/O banks,如圖12所示。

Xilinx 7系列FPGA架構之SelectIO結構(一)

圖12、XC7K325T I/O Banks

3.2 SelectIO管腳供電電壓

Vcco電壓管腳: Vcco供電是7系列I/O電路主要的電壓,位於同一bank內的所有Vcco電源管腳必須連接到相同的外部電壓,故同一個bank內的所有I/O管腳必須共享Vcco電平。Vcco電壓必須匹配I/O電平標準要求,如果不匹配可能會造成器件I/O損壞。

在HP I/O bank中,如果I/O標準電壓要求≤1.8V,但是如果Vcco≥2.5V,則FPGA器件自動進入過壓保護模式,重新配置正確的Vcco電平,器件自動恢復正常模式。

VREF: 單端I/O標準使用差分輸入緩衝會要求一個參考輸入電壓(VREF),這種標準信號稱為偽差分信號,如DDR2/3使用的差分SSTL電平標準。當在I/O bank中,VREF電壓需要時,此時該類型管腳需要當作電源管腳使用,在圖3中,我們可以看到這一點。7系列FPGA可以使用芯片內部產生的參考電壓,此時需要使能INTERNAL_VERF約束。

Vccaux: 全局輔助電壓Vccaux電源軌主要為7系列FPGA內部各種邏輯資源模塊提供電源,如BRAM資源,Vccaux也為某些I/O標準輸入緩衝電路提供供電。

Vccaux_io: 該管腳只為HP I/O bank電路提供輔助電壓。該管腳電壓應用於K7和V7系列FPGA,提供1.8V和2.0V兩種供電電壓選擇,該管腳電壓與存儲器接口頻率有關,其中2.0V電壓支持更高頻率存儲器接口。如果Vccaux_io設置為2.0V電壓,此時需要對VCCAXU_IO添加約束。

3.3 配置期間和配置後I/O狀態

7系列FPGA在I/O bank0中有專用的配置功能管腳,Bank14和Bank15也包含多功能管腳用於配置功能,在配置完成後可以用作普通I/O。

延伸閱讀:Xilinx 7系列FPGA器件管腳原理圖設計說明


分享到:


相關文章: