半導體設備行業:晶圓廠招投標正常進行 供應商持續獲得訂單

根據中國國際招標網,本土晶圓廠的設備招投標隨著新冠疫情的全面好轉而重啟,華虹無錫項目、上海華力二期的設備招投標工作自3月啟動,中微半導體、北方華創、華海清科等獲得多個設備訂單,引領設備國產化,繼續強烈推薦半導體設備板塊。

一、本土晶圓廠擴產恢復正常

1、華力二期:3月份以來密集招投標刻蝕、CVD、PVD、離子注入機等工藝設備。截至今日,華力二期累計釋放620臺工藝設備,其中國產設備52臺,國產化率8%,剔除量測設備的國產化率為13%;2、華虹無錫:陸續公佈招投標CMP、光刻機、Etch、塗膠顯影、Clean、熱處理等工藝設備。華虹無錫累計釋放418臺工藝設備,其中國產設備40臺,國產化率10%,剔除量測設備的國產化率為14%;3、長江存儲:宣佈128層QLC3DNAND閃存研發成功,並已在多家控制器廠商SSD等終端存儲產品上通過驗證,相比64層對設備的需求將大幅增加。長江存儲累計釋放1,347臺工藝設備,其中國產設備183臺,國產化率14%,剔除量測設備的國產化率為17%;4、上海積塔:3月30日8寸線正式投片,12寸線即將啟動。截至目前,上海積塔累計釋放195臺工藝設備,其中國產設備27臺,國產化率14%。

二、一線國產設備供應商持續獲得訂單

1、中微半導體:介質刻蝕市佔率普遍超20%。中微近期在上海華力二期項目獲得2臺銅互連溝槽等離子刻蝕機臺訂單,累計獲得11臺刻蝕設備訂單,在該產線的刻蝕設備市佔率達到17%,在該產線的介質刻蝕設備中的市佔率36%。在華虹半導體(無錫)項目獲得3臺刻蝕設備訂單,包括1臺鈍化膜等離子體刻蝕機和2臺氧化膜等離子刻蝕機,累計獲得10臺刻蝕設備訂單,在該產線的刻蝕設備市佔率達到21%,在該產線的介質刻蝕設備中的市佔率40%。

2、北方華創:硅基刻蝕市佔率超20%。北方華創近期在華虹半導體(無錫)項目獲得2臺PVD,2臺STI刻蝕設備訂單,以及3月底獲得3臺合金退火爐,累計獲得3臺PVD、4臺刻蝕設備、7臺熱處理訂單,在該產線的對應的PVD、硅基刻蝕、熱處理設備中的市佔率依次是19%、27%、8%。

3、華海清科:優質的CMP國產設備供應商。近期在華虹半導體(無錫)項目獲得3臺CMP,累計獲得5臺CMP訂單,在該產線的CMP的市佔率是38%。此外,華海清科還中標上海新昇1臺CMP設備。

三、重點推薦

繼續推薦北方華創、精測電子、萬業企業、晶盛機電、長川科技,關注中微公司、盛美、至純科技、芯源微、華峰測控等。

評級面臨的主要風險。客戶項目進度低於預期,新產品工藝驗證時間長且風險高。

本文源自財富動力網


分享到:


相關文章: