電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

電子行業2018也是利潤不景氣的一年,電子行業也是成長股的大本營,同時明年也會是5G投資的收益板塊。 研報展望2019電子行業,成長股可能有大機會。 研報原文內容較多,已經超過了文章長度上限,特分為兩篇提供。第一篇介紹行業趨勢,第二篇介紹重點股票 (電子行業2019年投資策略2:5G、 IC、IOT三大板塊投資建議的股票 )

研報摘要:

短期困境,前途光明,破曉在即

2018年是電子行業行業整體利潤下滑,上市公司股價也大部分腰斬。電子行業短期面臨“三座大山”,導致業績承壓,投資者對產業前景充滿憂慮。但我們認為大陸電子產業整體優勢依舊明顯,市場規模大、品牌已建立、供應鏈配套齊全、市場嗅覺靈敏,我們對未來產業前景保持樂觀。展望2019年,我們重點看好5G、半導體與IoT的機會。

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

投資聚焦

研究背景

受到貿易摩擦、智能手機紅利結束、缺乏核心技術的影響,大陸電子產業在 2018 年面臨著困難。我們認為這些困難是短期的,大陸電子產業在市場、品牌、供應鏈、敏銳度等方面依然擁有強大的優勢,並有望伴隨著5G、半導體、IoT 等新技術的發展而再次得到快速發展。

本報告的創新之處

儘管短期大陸電子產業面臨壓力,但我們依然看好電子產業的長期發展,本報告的創新之處有以下四點:

1)我們歸納總結大陸電子產業面臨短期困境的三大原因是貿易摩擦、智能手機紅利結束、缺乏核心技術。貿易摩擦將推升大陸電子產品的成本、阻礙大陸電子產業的創新,影響十分重大。智能手機紅利結束則深刻影響著伴隨著智能手機行業成長起來的公司,紅利結束將需要廠商們更注重內部管理和戰略眼光。最後大陸電子產業擁有很強的代工屬性,缺乏核心技術, 利潤較為微薄。

2)我們認為,5G將在基站和終端兩方面均產生重大的升級。基站端系統架構需要轉向有源、天線需要使用塑料陣子、PCB則需要使用高頻高速板,終端的天線則轉向有源和新基材、射頻前端開始出現模組化的發展趨勢、基帶芯片則需要重新升級架構。

3)我們認為,儘管全球半導體面臨下行週期,但大陸半導體產業依然以成長為主。受到下游智能手機、汽車、工業等需求疲軟,預計全球半導體行業將進入下行週期;但國內半導體行業尚處於發展初期,以成長性為主, 預計未來 5-10年將是中國半導體行業快速成長時期。

4)我們認為智能汽車和人工智能是電子產業發展的長期推動力。當前汽車行業正經歷著ADAS升級和無人駕駛滲透的兩種趨勢,智能汽車將在不遠的未來到來。人工智能則賦予機器以思維能力,將大幅提升未來的生產力, 帶來電子產業的長期發展機會。

投資觀點

儘管電子行業短期面臨“三座大山”,導致短期業績承壓,但我們認為大陸電子產業在市場、品牌、供應鏈、敏銳度等方面依然具備明顯優勢,未來前景依舊光明。我們認為 5G、半導體、物聯網將成為未來一段時間電子產業發展的推動力,大陸電子企業有望藉助這一趨勢得到新的發展。除了這三大領域,我們認為智能手機的光學升級和安防行業的智能化也將繼續成為電子行業的重要推動力。我們推薦 5G 相關領域的

信維通信、三環集團、順絡電子、東山精密、深南電路,半導體領域的北方華創、揚傑科技、聖邦股份,智能手機光學創新和全面屏領域的歐菲科技、長信科技,以及安防智能化的領頭羊海康威視

1、短期困境,前途光明,破曉在即

1.1、2018年回顧:煎熬

1.1.1、經營情況:收入同比增速下滑,淨利潤負增長

受到貿易摩擦、宏觀經濟下行、創新乏力等內外部因素的影響,電子行業2018 年前三季度的業績承受較大的壓力。使用申萬電子行業分類,根據 Wind 數據,電子行業在 2018 年前三個季度的營業收入同比增速分別為 12.29%、13.40%和 24.80%,相比上年同期出現了較大幅度的下滑。從淨利潤來看,電子行業在 2018 年前三個季度的淨利潤同比增速分別為 7.13%、-12.86%、-7.38%,也是出現了較大幅度的下滑。

圖表 1:2018 年電子行業單季度收入同比增速下滑

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

圖表 2:2018 年電子行業單季度淨利潤同比負增長

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

反映到細分行業上,過去十年最為重要的智能手機行業也在 2018 年出現了出貨量的同比負增長。根據 IDC 的數據,2018 年前三季度全球智能手機出貨量分別為 3.34 億、3.42 億、3.55 億部,分別同比下滑 2.92%、1.78%和 4.80%。

圖表 3:2018 年全球智能手機出貨量同比下滑

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

1.1.2、股價情況:電子板塊大幅下跌

短期業績的壓力也反映到了股價上,導致電子板塊在 2018 年的跌幅較大。申萬電子指數 2018 年下跌 42.37%,而滬深 300 指數下跌 25.31%,落後滬深300 指數 17.06 個百分點。

圖表 4:電子行業在 2018 年跌幅較大

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

按細分板塊看,元件板塊表現最好,主要因為被動元件和 PCB 兩大細分行業在 2018 年業績較好,導致股價表現相對不錯;而光學光電子板塊表現最差, 主要因為面板、LED 等細分領域都處於景氣度下降的階段,上市公司業績相比2017 年出現了較大的下滑,導致股價也出現了較大幅度的下滑。除了這兩大細分板塊,電子製造板塊下跌 39.09%,其他電子板塊下跌 37.11%,半導體板塊下跌 36.45%。

圖表 5:電子行業細分板塊的股價表現

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

1.2、短期困境:“三座大山”

中國大陸電子產業當下處境如何?可以用“三座大山”形容:第一座是貿易摩擦。會不會產生當年美日貿易摩擦對日本電子產業那樣致命打擊的影響,值得我們深入探討;第二座是智能手機飽和。智能手機是電子產業代表性產品,是中國過去電子黃金十年發展驅動力, 滲透率飽和情況下的電子產業如何尋找新的增長動力;第三座是代工屬性強, 缺乏核心技術。這是一個對產業長遠影響的因素,中國大陸已經崛起不少模組廠商,但仍然缺乏核心技術,產業鏈話語權較弱。

1.2.1、貿易摩擦:高端化發展受阻,且成本被迫推升

此處省略300字左右。

1.2.2、智能手機飽和:增長動能褪去,市場紅利消失

全球智能手機行業從成長期進入成熟期,電子行業增長主動能消失。iPhone開啟了功能機向智能手機邁進的新時代,第一代 iPhone於 2007年發佈,開始引起全市場對手機新形態的重視,第四代 iPhone4於 2010年發佈,炫酷的外表、極致的體驗迅速引發智能手機革命。自 2010年以來,智能手機行業迅速增長,出貨量逐年攀升,蘋果、三星、華為、小米、OPPO、VIVO等品牌在此紅利期內脫穎而出並穩佔國內、國際市場。在 2010-2016 年期間,全球智能手機出貨量複合年增長 GAGR=35.6%,處於高速增長期。進入 2017後,智能手機出貨量出現了拐點,2017年全球智能手機出貨量為14.62億部,同比下跌 0.6%;中國出貨量為 4.59 億部,同比下跌 4.0%。與此同時,IDC預測 2018年全球智能手機出貨量將繼續下滑 0.5%左右,達到14.55 億部;中國市場上半年表現欠佳,出貨量同比下滑 11%。

智能手機增長動能消失,意味著中國電子產業的市場紅利消失。過去十年, 智能手機市場快速成長,整個市場蛋糕快速做大,而中國大陸又是全球智能手機生產基地,很多大陸電子廠商正是依賴這一波市場紅利得以做大做強。過去十年,由於產業轉移過程中的中國大陸廠商逐步完成學習曲線,掌握了關鍵零部件的研發、生產與製造,形成對海外廠商的替代。以天線為例,以前手機天線廠商主要為安費諾、泰科、Molex等國外廠商為主,而今手機天線主要供應商以信維通信、立訊精密、瑞聲科技等大陸廠商為主。

圖表 6:有一批電子公司藉助智能手機紅利實現崛起

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

在過去這黃金十年,市場紅利階段的賺錢效應比較明顯。所以,過去十年, 很多抓住了智能手機風口。這時候,市場對企業自身的管理要求也沒有那麼苛刻,粗放式的經營就能獲得不少訂單和利潤。而時至今日,全球智能手機滲透率飽和,增長乏力甚至開始下滑,市場紅利消失,風停了,就會發現並不是所有的“豬”都會飛。智能手機整體市場蛋糕不再擴大,參與者開始互相切入對方領域以贏取更多的訂單,競爭變得慘烈,只有內功深厚的企業才能在後市場紅利階段取得更長遠的發展。

1.2.3、代工屬性較強:缺失核心技術,代工屬性強,“世界工廠” 地位沒有根本變化,處於價值鏈末端

站在當前時點,我們從微笑曲線看中國電子產業:微笑曲線右邊是下游終端廠商,終端品牌已經湧現了智能手機的HOV(華為、OPPO、vivo)、筆記本的聯想、電視機的海信、空調的格力美的等等。微笑曲線的中間是中游模組廠商,它們代工屬性較強,面板的京東方、深天馬,觸摸屏的歐菲科技,射頻天線的信維通信,聲學器件的瑞聲科技、歌爾聲學,玻璃蓋板的伯恩光學、藍思科技,連接器件的立訊精密,電池器件的ATL、德賽電池、欣旺達,等等,它們均在各自細分零組件領域做到全球領先。微笑曲線的左邊是電子材料及設備,它們技術要求高,主要被日韓美壟斷,國內處於相對弱勢地位。

圖表 7:中國電子產業中游模組和下游品牌較強

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

iPhone 是電子產品的典範,我們從iPhone 產品利潤分配一窺全球各地供應格局。根據美國加州大學和雪城大學的 3 位教授合作撰寫的研究報告《捕捉蘋果全球供應網絡利潤》中針對 2010 年 iPhone 手機利潤在世界各個國家/地區分配狀況的研究成果,蘋果公司每賣出一部 iPhone,便獨享其中近六成的利潤;排在第二的是塑膠、金屬等原物料供應國,佔去了 21.9%;作為屏幕、電子元件主要供應商的韓國,也僅分得了iPhone 利潤的 4.7%;至於中國大陸,則只是通過勞工獲得了其中 1.8%的利潤份額,凸顯了價值鏈不同環節的利潤分成差異巨大。雖然這是 2012 年的學術研究報告,但時至今日,蘋果 iPhone 在智能手機的地位暫無法撼動,蘋果全球供應鏈的利潤分成也大致如此。附加值高、產業鏈話語權的供應國/供應商始終處在利潤中心區域。從中國大陸的勞工僅獲得 1.8%的利潤值就可以看出,低端鎖定讓大陸始終處於利潤分配的末端。

圖表 8:蘋果 iPhone 產品的利潤分配格局(2012 年)

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

1.3、前途光明:大陸產業優勢明顯,破曉在即,突破在核心技術與科技新方向

1.3.1、產業優勢:市場優勢、品牌優勢、供應鏈配套優勢、市場嗅覺

儘管當前中國大陸產業確實存在上游關鍵技術缺失、中游模組代工屬性較強的特點,但是擁有市場、成本、品牌終端、供應鏈配套等多項優勢,給予了中國大陸長期發展的戰略空間。

第一,內需龐大是中國大陸最直接的優勢。從人口數量角度來看,截至 2017年末,中國大陸總人口約 13.90 億人,日本總人口約 1.27 億人,臺灣地區總人口約 0.23 億人,人口數量的差距是最直接的內需體現。中國大陸的中產收入群體消費崛起是科技電子產品的內需保障,根據貝恩諮詢的預測,我國的中等收入群體數量在未來 10 年內將有大幅增長,中國中等收入家庭將在 2020 年達到 2.24 億戶,在 2030年將達到 5.46億戶。以蘋果為例,蘋果作為一個全球化的美國企業,2017 財年營業收入為 2292.34 億美元,其中大中華區(主要是中國大陸)的銷售額為 447.64 億美元,佔比為 19.53%,足見中國大陸強勁的市場需求。

第二,品牌優勢,已建立了國際競爭力品牌,利於培育本土產業鏈。全球電子產品市場多年來一直主要被世界著名跨國品牌佔據,這些品牌以歐美日韓為主,通用電氣、IBM、DELL、HP、摩托羅拉、蘋果、SONY、東芝、日立、西門子、諾基亞、三星、LG等等是其中的優秀代表。二十一世紀之後,中國品牌企業開始追趕,最早以聯想為代表,先後進入 PC 和手機市場, 2013 年聯想 PC 業務全球市場份額首次位列全球第一。進入智能手機時代,中國智能手機品牌也快速崛起,根據 Counterpoint Research 數據,2017 年全球智能手機出貨量 15.50 億臺,其中中國四個手機頭部品牌華為、OPPO、VIVO、小米出貨量分別為 1.53 億臺、1.21 億臺、1 億臺、0.96 億臺,合計 4.7 億臺,佔全球整體出貨份額為 30.3%。除了筆記本、智能手機,空調的格力/美的、電視機的海信/TCL、冰箱的海爾都是全球頂尖的電子產品品牌。優秀的產品需要優秀的供應鏈配套,隨著中國電子品牌對產品質量、用戶體驗的要求不斷提高,也倒逼著本土供應鏈提升配套能力,進一步壯大電子產業實力。

第三,供應鏈配套優勢,大陸仍是當下電子製造業最好的選擇。當前中國大陸的產品供應鏈配套優勢十分明顯,任何一個電子產品只要有設計圖紙,大陸的廠商可以在幾個星期之內將它製作成產品,並能實現規模化生產。這種快速響應能力和規模生產能力是當下電子產品快速推向市場的保障,是中國大陸電子產業供應鏈配套優勢的完美展現,也讓中國大陸所生產的電子產品的綜合成本較低。中國大陸電子產業供應鏈配套優勢具體體現在兩個方面:一是產業集群效應凸顯,可以一地實現全產業鏈生產;二是工程師紅利。

第四,市場嗅覺靈敏,不拘泥老產品,順應產品浪潮。市場嗅覺是一個地區活力的體現,科技產業的發展更需要市場嗅覺。硅谷是世界上科技活力最強的地區,硅谷並沒有發明什麼,硅谷沒有發明晶體管、集成電路、個人電腦、互聯網、搜索引擎、智能手機,但是硅谷使這些技術迅速傳播。硅谷有著獨特的市場嗅覺,能迅速理解一項發明對於社會的可能的顛覆前景,並從中造就出偉大的企業。中國大陸同樣是一個極具市場嗅覺的地區,根據 CB Insights 發佈的 2018 年《全球科技中心報告》,在全球範圍遴選了 25 座城市作為「全球科技中心」,中國的北京和上海入選。北京在孵化科技公司方面表現突出,自從2012 年以來,六年間一共誕生了包括小米、滴滴、美團等 29 家獨角獸公司, 在全球位列第二,在亞洲地區則遙遙領先;上海的表現僅次於北京,同樣湧現出了陸金所、餓了麼、拼多多等科技新秀。無論是北京還是上海,科技獨角獸的不斷湧現充分體現了中國大陸創業者極具敏感的市場嗅覺。

因此,雖然當前中國電子產業遇到“三座大山”,但是中國電子產業優勢仍舊十分明顯,我們對電子產業的未來發展保持樂觀,產業的前途光明。那麼電子產業未來的發展方向在哪?機會在哪?我們結合當前大陸電子產業困境, 認為未來電子產業必須強化自身技術,並加強精細化管理,才能在競爭中贏取出路。毋庸置疑,突破產業上游環節(半導體、元件/材料、關鍵設備等),才能有技術話語權,一方面突破電子上游環節,例如半導體、元件/材料、關鍵設備等,掌握技術話語權;另一方面中游模組廠商向上延伸,垂直一體化整合, 打造核心零組件。其次,順應科技潮流,把握 5G、IOT 的機會才能獲取增量空間。

1.3.2、突破機會:5G、半導體、IOT

5G:5G 已來,2019年商用落地,2019年成為全球 5G 的元年。根據IMT-2020(5G)推進組公佈的我國 5G 進度,目前 NSA(非獨立組網)已經全部測試完畢,包括華為、中興、中國信科集團都完成了 3.5/4.9GHz 頻段的測試內容,SA(獨立組網)測試也過半,一旦全部測試完畢,頻譜確定以及牌照發放也將加速落地。頻譜已經在 2018 年 12 月確定,牌照有望在 2019 年上半年進行發放,商用時間在 2019 年下半年至 2020 年年初。5G 商用將帶來基站端和接收端大升級,基站端的基站天線、PCB 板、接收端的天線、射頻前端、基帶芯片都會發生大變化。

半導體:我們一直持續推薦半導體行業,從行業的景氣度、大基金支持、貿易摩擦影響、國家稅收政策、自主可控重要性、建廠邏輯等多個角度論證半導體的發展邏輯。我們認為,2018 年 4 月份的中興事件敲響了芯片自主可控的警鐘,引起了全社會極大的反思與重視,中國大陸半導體進入了加速發展的通道。投資半導體需要把握兩個方面:一是重點突出,另外一個是突破稀缺。在重點突出方面,當前中國半導體的發展重點應該在存儲芯片和製造環節,掌握了存儲芯片才能實現信息的自主可控,掌握了製造環節才能扼住咽喉。關注標的包括兆易創新、北方華創、中芯國際(光大海外組覆蓋)。在突破稀缺方面, 重點關注細分領域的龍頭,他們最有希望實現彎道超車。關注標的包括聖邦股份、揚傑科技等。

IOT:5G的商用,隨之而來的就是 IOT 的發展,其發展也將進入新階段, 智能可穿戴設備、智能家電、智能網聯汽車、智能機器人等數以萬億計的新設備將接入網絡,形成海量數據,應用呈現爆發性增長,且應用場景也全面升級。AI人工智能將在 IOT中扮演重要角色,它作為新一輪科技革命和產業變革的核心力量,將重構生產、分配、交換、消費等經濟活動各環節,形成從宏觀到微觀各領域的智能化新需求,催生新技術、新產品、新產業,引發經濟結構重大變革,推動產業轉型升級、實現生產力的新躍升。此外,5G也將大大促進智能汽車的發展,其帶來的結果是汽車電子含量顯著提升,主要來自

於兩方面:一是電動化帶來功率半導體、MCU、傳感器等增加;二是智能化和網聯化帶來車載攝像頭、雷達、芯片等增加。

2、5G:2019 年成為元年,從基站到終端全面升級

2019年成為 5G元年,通信關鍵能力大幅提升

5G的內容,我們在很多幹貨研報中重點推薦過,關於5G基本ABC的內容,本文會省略。感興趣的投資者可在小程序搜索:光大證券+5G這兩個關鍵詞查看

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

根據規劃,高速率、大連接、低時延將是 5G 的顯著特徵,具體性能需要達到:1)支持 0.1-1Gbps 的用戶使用速率;2)單位平方公里連接數量達到百萬級;3)毫秒級的端到端時延;4)滿足超過 500 公里時速狀態下的通信;5)峰值速率需要達到 10Gbps;6)單位平方公里內的流量密度需要達到30Tbps。

為了達到上述高標準,5G 需要使用一系列新技術,主要包括毫米波通信、小基站技術、MassiveMIMO 與波束成形技術、新型多載波技術、SND 與NFV 技術等。

關鍵技術之一:毫米波通信

關鍵技術之二:微基站技術

關鍵技術之三:MassiveMIMO與波束成形技術

關鍵技術之四:新型多載波技術

關鍵技術之五:SDN與NFV技術

標準與頻譜即將確定,2019將成 5G商用元年

全球R15 標準制定可以分為兩步走:第一步已於 2017 年年底完成,其標誌性事件為非獨立組網標準的凍結。第二步以獨立組網標準凍結而宣告結束。前兩步完成之後,5G 國際標準的大部分內容已經確定,同時產業鏈的相關方可以進行 5G 商用設備的研發定型和生產。

標準制定之後就將進入頻譜分配階段,我國在初期主要使用中頻段。2017 年 11 月工信部發布 5G 系統在 3000~500MHz 頻段(中頻段)內的使用規劃,明確了 3300~3400MHz(原則上限室內使用)、3400~3600MHz 和 4800~5000MHz 頻段作為 5G 系統的工作頻段。

在具體頻譜分配方案方面,根據工信部的規劃,預計中國電信和中國聯通方面將分別拿到 3.4GHz~3.5GHz的 100MHz帶寬和 3.5~3.6GHz的100MHz 帶寬。對於中國移動而言,中國移動將會被新分配到 2.6GHz 頻點附近 100MHz 帶寬以及 4.8GHz 頻點附近頻段。

在完成標準制定和頻譜分配之後,5G 就將進入商用階段。我們預計 5G 通信牌照有望在 2019 年上半年完成發放,正式開始進入商用階段。根據規劃,中國移動將在 2018 年完成外場測試,2019 年開始預商用;中國聯通將在 2019 年完成 5G 外場測試並開始預商用;中國電信將在 2019 年開始試點商用部署。

IOT:5G為未來的物聯網世界奠定基礎

除了手機,5G 更廣闊的應用是在物聯網。海量物聯網可以支持資產跟蹤、智能農業、智慧城市、能源/公用事業監控、實體基礎設施、智能家居和聯網購物等各種。據中國物聯網研究發展中心預計,到 2018 年,物聯網行業市場規模預計將超過 1.5萬億元,2020年我國物聯網產業規模將達到 2萬億。根據 IMT-2000(5G)推進組數據,預計到 2020年,全球移動終端(不含物聯網設備)數量將超過 100億,其中中國將超過 20億。全球物聯網設備連接數也將快速增長,到2030年,全球物聯網設備連接數將接近1000億,其中來自中國的連接量超過 200 億。

圖表 33:2010 年-2030 年全球物聯網連接數增長趨勢

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

5G 將帶動物聯網的發展,物聯網將創造出巨大的經濟價值。根據高通與諮詢公司 IHS共同發佈白皮書《5G 經濟:5G 技術將如何影響全球》的數據,到 2035年,5G 及其產生的物聯網將在全球創造 12.3 萬億美元經濟產出,同時創造 2200 萬個工作崗位。5G 及物聯網將極大地改變人類生活。

圖表 34:5G 將創造鉅額經濟產出(單位:億美元,2016 年價格為基準)

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

物聯網將是一個十分廣泛的概念,將會對人類生活的方方面面產生重大的影響。儘管物聯網存在多種應用場景,但我們認為無人駕駛和智能家居是目前成熟度較高的兩大場景。

3、半導體:國之重器進口替代正當時,優先看好設備與設計環節

3.1、全球週期下行,國內仍處於發展初期

全球半導體行業週期下行:全球半導體行業在技術驅動和宏觀經濟的影響下呈現以 4-6 年為一個週期波動向上發展,目前受到下游智能手機、汽車、工業等需求疲軟,半導體庫存水位處於歷史高位,預計全球半導體行業將進入下行週期。未來 5G、人工智能 AI、智能駕駛、物聯網 IOT 等創新應用有望驅動全球半導體行業復甦。

國內半導體行業仍處於發展初期:雖然全球半導體行業已非常成熟,以週期性為主,但國內半導體行業尚處於發展初期,以成長性為主。以史為鑑, 目前國內半導體行業發展階段相當於上世紀70 年代末的日本與 80 年代末的韓國,日本在 80 年代超越美國而韓國在 90 年代崛起,預計未來 5-10 年將是中國半導體行業快速成長時期。

半導體是國之重器,進口替代空間大。半導體產業是信息產業的基礎, 更是支撐和保障國家安全的戰略性、基礎性和先導性產業。貿易摩擦等敲響警鐘,半導體是中國被卡脖子的產業。國家大力支持半導體產業發展,《國家集成電路產業發展推進綱要》、《2018 年政府工作報告》等已將發展半導體產業上升為國家戰略。

圖表 39:全球半導體銷售(十億美元)

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

圖表 40:中國半導體銷售(十億美元)

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

我國半導體市場雖大但自給率低。我國 2014 及 2015 年芯片進口均超過 2000 億美元,成為中國進口量最大的商品。根據 IC insights 數據,2016 年中國公司僅能滿足本土 15%左右的芯片需求。在高端芯片市場上,服務器MPU、桌面計算機 MPU、工業控制用 MCU、可編程邏輯器件 FPGA、數字信號處理器 DSP,手機芯片中的用到的嵌入式CPU、嵌入式 DSP、動態隨機存儲器 DRAM、閃存 FLASH、高速高精度轉換器 AD/DA、高端傳感器Sensor 等基本上全部依賴國外,我國產品的市場佔有率幾乎為 0。

圖表 41:國產核心芯片市佔率極低

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

3.2、設計:模擬芯片穩定替代中,數字芯片爆品屬性降低

我國在智能卡芯片、通信芯片、移動智能終端芯片設計方面能夠趕上世界先進水平,華為海思、展銳已進入全球前十。但高端通用芯片設計與發達國家差距巨大,如CPU、DSP、FPGA、存儲器、模擬、功率等高端通用芯片仍被國外壟斷。A 股上市的芯片設計公司主要包括聖邦股份(模擬)、韋爾股份(模擬)、全志科技(數字 SOC)、匯頂科技(指紋)、兆易創新(存儲)等。

模擬芯片方面,根據WSTS 數據,2016 年中國模擬芯片市場規模達到1994.9 億元,佔全球模擬芯片銷售額的 62%,但前五大廠商全為歐美跨國公司,進口替代空間巨大。模擬芯片行業的特點有:不強調摩爾定律與高端製程;依賴人工設計、重視經驗積累、研發週期長;品種類繁多、產品應用廣泛;產品生命期長,價格偏低;市場波動較小;汽車&工業應用成為未來主要增長動力。隨著模擬代工興起,大陸產業生態發展逐漸完善。國內廠商緊抓產業變遷機遇,拓展本土需求,從低端向中高端滲透。對於已實現技術突破的低端市場,考驗的是銷售能力;而對於未實現技術突破的高端產品市場,考驗的是研發能力。併購重組則是實現彎道超車的不二法門。聖邦股份是國內模擬 IC 龍頭,韋爾股份是國內優質經銷+設計廠商,有望率先受益於大模擬行業發展。

圖表 42:模擬行業需要研發、銷售和併購三大核心能力

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

數字芯片方面,全志科技在經歷平板電腦行業下滑的陣痛後,公司逐漸從爆品模式向平臺型企業發展,智能音箱、掃地機器人、無人機等應用多極驅動公司長效發展。匯頂科技的爆品屬性依舊較強,伴隨著 2019 年智能手機屏下指紋芯片滲透率快速提升,公司業績有望呈現出爆發式增長。兆易創新主營為存儲芯片設計業務,其主要產品並非主流的 NAND、DRAM 產品, 而是NOR、SLC NAND 等長尾市場,公司依靠產品升級與產能擴充逐漸提高市佔率。此外,公司與合肥長鑫合作的DRAM 項目進展順利,值得期待。

(詳細分析可參見 2018 年 11 月 3 日發佈的《緊抓黃金髮展機遇,國產廠商銷售研發併購三力齊發——大模擬行業深度報告》)

3.3、製造:代工看先進製程與特色工藝,存儲崛起之勢不可逆

製造向國內轉移,新建晶圓廠迎來產能釋放期。根據 SEMI 預計,在 2017 年至 2020 年期間全球將新建 62 座晶圓廠,其中中國大陸將新增 26 座晶圓廠,約佔全球總新增晶圓廠的 42%。

圖表 43:全球新建晶圓廠數量(座)

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

晶圓代工方面,看先進製程突破與特色工藝高景氣。製程變小&硅片變大雙輪驅動半導體技術進步。12 寸上的先進製程代表了半導體行業高端化發展的趨勢,而 8 寸上成熟製程受下游需求旺盛驅動行業持續高景氣。因此,

我們將 12 寸先進製程比喻成詩和遠方,關注中芯國際的先進製程突破;將 8 寸特色工藝比喻成麵包牛奶,關注華虹半導體的盈利能力維持高水平。(注: 中芯國際和華虹半導體均由光大海外團隊覆蓋)

圖表 44:重要廠商製程節點技術路線圖

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

存儲方面,大陸崛起之勢不可逆。發展存儲芯片的必要性在於大而重要。重要體現在存儲芯片是電子系統的糧倉,數據的載體,關乎數據的安全;大體現在其市場規模足夠大,約佔半導體總體市場的三分之一。以行軍打仗作比喻,發展存儲芯片可謂是兵馬未動糧草先行。存儲芯片是一個技術、資本、人才密集型的產業,發展存儲芯片的充分性在於天時地利人和。天時:①品牌化程度低;②摩爾定律放緩;③重 IP 和製造。地利:①製造向國內轉移;

②國家大力支持。人和:人才聚集下,①長江存儲、①合肥長鑫、③福建晉華三大存儲項目緊張開展中,儘管受到美國禁運影響,福建晉華項目前途堪憂,但長江存儲合肥長鑫進展順利,未來可期。天時地利人和,大陸存儲芯片發展進入加速階段,實現國產化指日可待。

圖表 45:國內三大存儲項目

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

(詳細分析可參見 2018 年 7 月 11 日發佈的《大陸為什麼“要”&“能” 發展存儲芯片——大陸發展存儲芯片的充要性及影響分析》)

3.4、封測:規模技術進入全球前列,靜待產能利用率提高

整體而言,中國半導體產業要趕上世界先進水平還需要大約十年時間, 但封裝技術門檻相對較低,國內發展基礎相對較好,所以封測業追趕速度比設計和製造更快。2014 年以來,華天收購美國 FCI 以及擬收購 Unisem,長電收購星科金朋,通富微電收購 AMD 蘇州和檳城兩座工廠,完成規模體量的快速擴張,均進入全球半導體封測十強。大陸廠商與業內領先廠商的技術差距正在縮小,基本已逐漸掌握最先進的技術。中國半導體第一個全面領先全球的企業,最有可能在封測業出現。

封測行業屬於勞動力密集型產業,盈利能力與產能利用率的邊際提高關係非常大,只有企業產能利用率達到一定水平之後,才能實現盈利。在全球半導體行業景氣度下行的背景下,封測行業的產能利用率提升壓力較大。此外,儘管國內三強通過併購實現營收規模進入全球前十,但併購後的有效整合是關鍵。建議關注行業整合進展與產能利用率情況。

3.5、功率IDM:“汽車+工業”重構供需格局,缺貨漲價帶來國產化發展良機

功率器件行業在 3C 應用市場放緩的同時,汽車和工業成為未來主要增長動力。據 Yole 數據,2017 年全球功率半導體市場規模超 300 億美元。根據 Infineon 預估,汽車中功率半導體量價齊升驅動汽車對功率半導體需求中長期增速約為 8%。根據 ON Semi 報告,2016 年全球工業功率半導體市場規模約為 90 億美元,預計 2020 年有望達 125 億美元,年複合增速約為 9%。

圖表 46:電動汽車中功率半導體的價值量

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

圖表 47:工業功率半導體市場規模

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

根據 Yole 數據,2017 全球功率分立器件和模塊市場規模約為 150 億美元,其中二極管約佔20%,MOSFET約佔40%,IGBT及功率模塊約佔30%。全球功率分立器件市場競爭格局總體上較為分散,但高端產品主要由美歐日壟斷。

2017 年以來,受益於市場需求旺盛,國際大廠產能向高端轉移,上游硅晶圓供不應求,產能擴充不及時,供應緊張導致交貨週期不斷延長,漲價沿產業鏈蔓延。一方面國際大廠紛紛轉攻高端產品讓出低端市場,另一方面目前國產化水平非常低,本輪功率半導體的缺貨潮有利於國內企業切入更多客戶,進一步提高市場率,進行進口替代。

臺灣地區的功率分立器件產業較為完善,有實力的廠商數量眾多。近年來,大陸功率分立器件廠商在研發設計、生產製造和封裝測試等方面都取得了長足的發展。

圖表 48:中國功率分立器件產業鏈主要公司

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

3.6、設備:製造轉移+技術突破,迎來黃金替代機遇期

隨著半導體制造環節向大陸轉移,新建晶圓廠拉動半導體設備需求。據SEMI 數據顯示,2018 年大陸地區首次超過臺灣地區已成為全球第二大半導體設備市場,預計到 2019 年,大陸地區的設備銷售額將達到 173 億美元, 超過韓國成為全球第一大半導體設備市場。

圖表 49:大陸地區的 IC 設備銷售額(十億美元)

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

圖表 50:國產半導體設備

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

在 02 專項的統籌規劃下,國內半導體廠商分工合作研發不同設備,涵蓋了主要設備種類。目前已有 20 種芯片製造關鍵裝備、17 種先進封裝設備, 通過大生產線驗證進入海內外銷售。芯片製造關鍵裝備品種覆蓋率達到31.1%,新建生產線國產化率達到 13%;先進封裝關鍵裝備品種覆蓋率和國產化率均達到 80%。

技術差距逐漸縮短,迎來國產替代黃金機遇期。以北方華創刻蝕機為例, 公司 2007 年研發出 8 寸 100nm 設備,比國際大廠晚 8 年;2011 年研發出12寸 65nm 設備,比國際大廠晚 6 年;2013 年研發出 12 寸 28nm 設備, 比國際大廠晚 3~4 年;2016 年研發出 12 寸 14nm 設備,比國際大廠晚 2~3 年。目前,北方華創 28nm Hard mask PVD、Al-Pad PVD 設備已率先進入國際供應鏈體系;12 英寸清洗機累計流片量已突破 60 萬片大關;深硅刻蝕設備也進入東南亞市場。公司自主研發的 14nm 等離子硅刻蝕機、單片退火系統、LPCVD 已成功進入大產線驗證。

圖表 51:北方華創 IC 設備技術加速追趕

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

注:藍色曲線代表技術節點,紅色曲線代表落後國際領先水平的時間根據Gartner數據,列入統計的規模以上全球晶圓製造設備商共計58家,其中,日本企業最多,達到 21家。其次是歐洲的 13家、北美 10家、韓國 7家。中國大陸有 4家,分別是北方華創、上海盛美、上海中微和 Mattson(亦莊國投收購,未列入下表)。此外,國內封測設備龍頭長川科技也將受益於國內封測行業的發展。

圖表 52:2018H1 國產半導體設備廠商十強

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

3.7、材料:大硅片國產化在即,其他材料多點突破

隨著國內晶圓廠和封測廠密集建設,我國半導體材料市場規模將不斷擴大。半導體材料認證週期長達 3-4年,佔下遊成本比例較低,出於可靠性等因素考慮,進口替代難度較大。硅片在半導體材料中份額最大,約佔 30%左右,其中 12英寸大硅片約佔硅片整體的 60%以上。根據 ICinsight數據, 預計 2020年國內 12英寸大硅片需求將從 42萬片增加到 105萬片。雖然目前國內 12英寸大硅片全部依賴進口,但國內規劃中的 12寸大硅片合計產能達 145 萬片/月,未來將覆蓋國內需求。此外,隨著國內三大存儲項目進展順利,國內對存儲器的核心材料前驅體的需求將顯著提升,值得關注。

4、IOT:智能汽車、人工智能,更遠的未來

4.1、智能汽車:汽車電子是根本,汽車電子價值含量顯著提升

電動化+智能化+網聯化+輕量化,汽車電子價值量顯著提升

汽車四大趨勢:電動化+智能化+網聯化+輕量化

隨著全球能源、環境、交通安全等問題日漸突出和消費者對汽車的舒適、便利、娛樂等的要求越來越高,汽車向電動化、智能化、網聯化、輕量化發展。電動化主要是從能源動力方面講,通過新能源電力代替化石燃料,電機代替內燃機驅動,從而減少環境汙染。智能化主要於從控制方面講,汽車通過搭載先進的傳感器、控制器、執行器等裝置,利用自動化等技術實現單車自動駕駛。網聯化偏向於從信息方面講,利用現代通信與網絡技術,使得車與 X(人、車、路、雲端等)智能信息互通。智能化+網聯化,即智能網聯化:發揮控制與信息的協同效應,使得 V2X 智能信息互通,同時具備複雜環境感知、智能決策、協同控制等功能,從而實現“安全、高效、舒適、節能” 行駛,並最終可實現智能駕駛。智能化和網聯化的最終目標都是實現系統代替人駕駛。根據普華永道和思略特預測,從 2020 年開始,電動車全球總量將迅速發展;而到 2028 年,4/5 級無人駕駛汽車將成為主流。

汽車電子含量顯著提升

汽車電子含量顯著提升主要來自於兩方面:一是電動化帶來功率半導體、MCU、傳感器等增加;二是智能化和網聯化帶來車載攝像頭、雷達、芯片等增加。

在電動化帶來的增量方面,據 strategy analytics 2015 數據,傳統汽車的汽車電子成本大約在 315 美金,而插混汽車和純電動汽車的汽車電子含量增加超過一倍,插混汽車大約 703 美金,純電動汽車大約 719 美金。

中國是汽車產銷大國,汽車電子需求快速增加,汽車電子滲透率持續提升。2017 年我國汽車電子市場規模達 795 億美元,7 年複合增長率 13.48%。電子產品成本佔整車比例已經從上世紀 70 年代的 4%,成長到現在的30%左右。

圖表 53:中國汽車電子行業市場規模

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

圖表 54:汽車電子成本佔比變化

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

在智能化帶來的增量方面,自動駕駛級別每提升一級,傳感器的需求數量將相應的增加,到 L4/L5 級別,車輛全身傳感器將多達十幾個以上。以特斯拉為例,Autopilot 2.0 傳感器包含 12 個超聲波傳感器,8 個攝像頭以及 1個雷達。未來 5 年,隨著汽車自動化級別的逐步提高,在雷達和攝像頭模塊的驅動下,ADAS/AD 半導體市場將加速增長。英飛凌認為:2026 年左右, L3 自動駕駛車輛的單車半導體成本平均為 580 美元;2030 年左右,L4/L5 自動駕駛車輛的單車半導體成本平均為 860 美元。

汽車電動化+智能化+網聯化趨勢下,汽車電子含量顯著提升,汽車電子有望接力智能手機成為電子行業發展的新動力,同時汽車產業鏈與消費電子產業鏈相關廠商有望交叉發展,相輔相成。

4.1.2、汽車半導體、電容器、PCB板、攝像頭等關鍵器件需求量快速提升

汽車半導體蓬勃發展,IGBT成重中之重

在汽車的四大趨勢的推動下,電動汽車市場在近進來高速發展。據彭博社統計,2017 年全球電動車銷量達 318 萬輛,2012 至 2017 年均複合增長率為 16.34%。電動汽車產業的高歌猛進也帶動了半導體等眾多上游相關產業。IHS 預測汽車應用將成為半導體行業增速最快的領域,2017-2021 年複合增速預計為 7.20%。其中,功率半導體對推動汽車電動化的貢獻可謂是舉足輕重。StrategyAnalytics 統計得出,傳統燃油汽車中功率半導體價值量約為 17 美元, 而電動汽車中功率半導體的價值量約為 265 美元,大約是燃油汽車的 15 倍。電動汽車中,AC/DC 整流器、DC/DC 變換器、DC/AC 逆變器以及 BMS 等器件用量顯著增加。根據 ON Semi 報告,2016 年全球汽車功率半導體市場規模約為 55 億美元,預計 2020 年汽車功率半導體市場規模有望達 70 億美元。

IGBT 是汽車功率半導體中重要的組成部分,是能源變換與傳輸的核心器件,俗稱電力電子裝置的“CPU”。在電動汽車中,IGBT佔整車成本的7-10%,是除電池之外成本最高的元件。2016 年全球電動車銷量為 247 萬輛,共消耗了大約 9 億美元的 IGBT 管,平均每輛車大約 450 美元。其中, 混合動力和 PHEV 大約 77 萬輛,每輛車需要大約 300 美元的 IGBT,純電動車大約 123 萬輛,平均每輛車使用 540 美元的 IGBT,大功率的純電公交車用的 IGBT 超過 1000 美元。根據 Yole 數據,2018 年電動車用 IGBT 市場規模超 10 億美元。

圖表 55:全球汽車 IGBT 市場空間(十億美元)

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

車用電容器、PCB板等元器件需求持續旺盛

汽車電容器伴隨新能源車快速發展。自從豐田第二代 Prius 採用薄膜電容後,薄膜電容已在新能源汽車得到普遍應用,特斯拉的所有車型採用的都是薄膜電容,國內比亞迪在 F3DM、秦、唐、E6等車型上也採用了薄膜電容。預計單臺新能源乘用車的薄膜電容價值在 80-100 美元左右,單臺新能源客車薄膜電容價值在 150-300 美元左右。此外,汽車電動化發展還有利於超級電容發展。因為新能源汽車鋰電池能量密度相對較高,但電流較小,功率密度較低。超級電容則相反,其電流較大,功率密度高,但受限於材料等因素,能量密度較低。而鋰電池與超級電容組合技術可實現優勢互補,有助於共同推動新能源汽車發展。比如特斯拉、本田都用了車載的超級電容,在汽車瞬間啟動的時候扮演輔助動力的角色,可以更省電、啟動速度更快。

汽車 PCB 受益於 BMS 的發展。根據 Prismark 預測,2009-2015 年, 汽車電子領域的PCB需求佔比由3.76%增長到6.34%,成為繼通信領域(由 22.18%-28.21%)後 PCB 應用增長最快的領域。IMS 預計 2020 年全球汽車電子產品市場的產業規模預計達到 2400 億美元,與 2010 年相比提升 50%。

新能源汽車中 BMS 大大提升了 PCB 用量。傳統汽車 PCB 在汽車電子中的應用有多媒體娛樂、車載屏、車門、儀表屏、ECU 系統等。新能源汽車用 PCB 主要含汽車電子用板、電池模組用板、電控用板,其中電池模組用板(BMS 板)是新能源汽車所特有的,使新能源汽車的PCB 用量較傳統汽車更大。

汽車攝像頭用量大幅增加

ADAS 對攝像頭需求量高,市場空間大。車載攝像頭按照安裝位置可分為前視、後視、側視以及車內監控四個位置,按照類型可分為單目、雙目和廣角,可用於行車輔助、駐車輔助與車內人員監控等功能。目前運用最多的是前視和後視攝像頭,隨著 ADAS 系統滲透率提高以及人臉識別等技術運用於汽車電子領域,車內以及側視攝像頭將得到逐步應用,市場空間進一步擴展。

車載攝像頭技術工藝難度較大。相對於手機攝像頭,車載攝像頭工作環境十分惡劣,要滿足抗震、防磁、防水、適應高低溫衝擊、超廣角、高動態、低噪點等要求,因此製造工藝十分複雜。產業鏈主要包括 CMOS 廠商、DSP 廠商、鏡頭組廠商和模組廠商。

4.2、人工智能:已取得重大進展,安防有望成為最先落地的領域

4.2.1、以深度學習為代表的人工智能算法已取得重大進展

人工智能是計算機科學的一個分支,它指讓機器擁有像人一樣的思考和解決問題的能力的算法。我們今天所談到的人工智能,主要是指機器學習和深度學習,其中機器學習是人工智能的一個分支,而深度學習則是機器學習的一個分支。

圖表 56:人工智能、機器學習與深度學習的關係

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

機器學習是指通過解析數據而不斷學習,然後對世界中發生的事做出判斷和預測的算法。此時,研究人員不會親手編寫軟件、確定特殊指令集、然後讓程序完成特殊任務,相反,研究人員會用大量數據和算法“訓練”機器, 讓機器學會如何執行任務。機器學習是實現人工智能的一種方法。

深度學習是指使用多隱藏層的神經網絡將現實世界表示為嵌套的層次概念體系,再讓神經網絡從已有的數據中學習確定全局最優的參數,從而獲得強大的性能與靈活性的算法。深度學習算法分為訓練和推理兩步,首先是使用大量數據進行學習,用於確定參數,然後將訓練好的算法用於實際推理。深度學習是實現機器學習的一種方法。

圖表 57:機器學習與人類思考的類比

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

圖表 58:深度學習的多層神經網絡示意圖

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

在過去十年間,深度學習算法取得了非常大的進展,並已經在無人駕駛、智能家居、無人零售等現實領域得到了應用。這樣的技術進展可以歸功於理論突破、數據爆發與算力進步三大推動力。

理論突破。在 2006 年之前,神經網絡一方面只能得到局部最優解,這使得神經網絡的優化較為困難;另一方面神經網絡的訓練時間太長,難以使用。2006 年,加拿大多倫多大學的 Geoffery Hinton 教授在《Science》和相關期刊上發表論文,首次提出了“深度信念網絡”的概念。與傳統的訓練方式不同,“深度信念網絡”有一個“預訓練”(pre-training)的過程,這可以方便的讓神經網絡中的權值找到一個接近最優解的值,之後再使用“微調”(fine-tuning)技術來對整個網絡進行優化訓練。這兩個技術的運用大幅度減少了訓練多層神經網絡的時間。

2012 年,Hinton 與他的學生在 ImageNet 競賽中,用多層卷積神經網絡成功地對包含一千類別的一百萬張圖片進行訓練,取得了分類錯誤率 15%

的好成績,這個成績比第二名高了近 11 個百分點,充分證明了多層神經網絡識別效果的優越性。這一結果引發了業界的震動,隨後深度學習算法就開始流行起來,Hinton 也被聘為“Google Brain”的負責人。

數據爆發。深度學習需要使用大量數據來訓練,並且用於訓練的數據量越大,深度學習的效果就越好。在過去這麼多年,由於電腦、智能手機、IoT 和其他電子產品的興起,人類社會產生的數據量急劇上升。根據 IDC 的數據, 人類社會在 2020 年產生的數據量將達到 44ZB(1 ZB=1 萬億 GB), 2016—2020 年的複合增長率將達到141%。大數據的興起一方面解決了深度學習訓練的數據來源問題,另一方面訓練好的深度學習算法又可以用於大數據推理,從而讓大數據與人工智能成為相輔相成的兩個技術。

圖表 60:人類社會產生的數據量急劇上升

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

算力進步。深度學習訓練涉及到大量數據的處理,需要非常強大的計算能力,並且這樣的計算是以矩陣的形式進行的,而 GPU(圖形處理器)正好可以滿足這兩大要求。首先 GPU 的計算性能仍在以每年 50%的速度增長, 這遠遠超過了 CPU 每年 10%的性能提升;其次 GPU 使用多核心架構,非常適合於進行矩陣運算。前文提到的 Hinton 2012 年在 ImageNet 競賽中的優異表現,就是使用 GPU 進行訓練,並因此讓英偉達(Nvidia)意識到了GPU 計算的巨大潛力,從而發力成為人工智能芯片的領頭羊。目前 GPU 已成為各大雲服務商提供深度學習訓練服務的首選。

圖表 61:GPU 計算性能以每年 50%的速度增長

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

圖表 62:GPU 的多核架構適合矩陣運算

電子行業2019策略:曙光明朗,突破點就在5G、IC、物聯網三大板塊

4.2.2、安防有望成為人工智能最先落地的領域

安防有望成為人工智能最快落地的領域,主要有三個原因:1)安防的前端是攝像頭,攝像頭天然可以獲取大量標準化數據,便於後續的訓練和處理;2) 從算法層面來看,圖像是靜態的數據,而且圖像都由 RGB 像素實現了標準化, 所以相比自然語音處理等其他深度學習算法要容易得多;3)安防行業在深度學習成熟之前就對智能化已經進行了長期的探索,具有較好的人才、理論和物質基礎。

碎片化的場景要求建立人工智能算法生態系統。視頻監控的應用場景十分碎片化,既有公檢法、交通、監獄等政府需求,也有金融、能源、零售等行業需求,還有超市、家庭等零散需求。不同的場景對人工智能算法擁有不同的需求,所以這就要求針對不同場景定製化開發算法。視頻監控解決方案提供商既無能力也無必要全部由自己來開發這些算法,通過建立開放的生態系統,讓更多獨立的算法開發商參與進來,可以實現多方共贏。所以視頻監控向物聯網的升級過程將會出現人工智能算法的生態系統,也會出現生態型的企業。

康威視是全球排名第一的安防廠商,其引領著視頻監控系統向人工智能升級的浪潮。海康所構建的智能安防由邊緣域、邊緣節點和雲中心三層架構組成。邊緣節點負責數據感知和前端處理,前端處理一方面可以減少數據傳輸量,節約帶寬成本,另一方面可以快速判斷和響應,節省時間;邊緣域負責前端數據的匯聚、存儲、處理和智能化應用,扮演銜接者角色,解決邊與雲之間的調度、協同、運維等問題;雲中心負責多維數據的融合和分析應用,但快速響應能力不足,這種功能由邊緣節點和邊緣域來實現。

在三層架構基礎上,海康著力建設類似於 App Store 的算法開放平臺。海康已經初步建立包括計算存儲資源池、數據資源池和算法倉庫在內的“兩池一庫”平臺,其中計算存儲資源池提供硬件基礎資源,數據資源池提供數據資源, 算法倉庫類似 App Store,開放端口、統一架構,可以接入其他廠商的算法,從而形成一個完整的物聯網生態系統。

寫在後面:

每天為你更新3-5篇左右深度研報,持續深度閱讀,跟蹤行業趨勢,透視數據變化,釐清投資邏輯。相信你跟蹤持續閱讀一年以上的時間,你看待新的投資機會,會多了一份淡定從容,而投資心態的穩定,是穩定持續盈利的開始。

你的點贊和轉發,是我們不斷前行的動力,感謝你的“留言+轉發+點贊+收藏”,我們將努力做到更好!

請在我的主頁右上角,點擊“發私信”,回覆“價值投資”或者“5G”,即可獲取免費資料,需要更多資料,也可以隨時找我私信聊天。


分享到:


相關文章: